基于VHDL语言的卷积码编解码器的设计,学习VHDL的同学做实习,实验可能会用的着哦。。。
2022-05-17 23:26:20 303KB VHDL 卷积 编解码
1
介绍并用VHDL语言实现了卷积编码和维特比译码。根据编码器特征设计了一种具有针对性的简洁的维特比译码器结构,并通过ModelSim平台验证了该设计的正确性。
2022-05-17 23:05:50 244KB SoPC
1
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;
2022-05-15 20:01:20 8KB FPGA VGA 驱动 VHDL
1
FPGA猜三位数字 VHDL语言实现,使用 quartus 9.1 编写的代码,该软件打开可直接进行上板测试,稍微修改代码可进行仿真。
2022-05-14 20:09:13 2.38MB FPGA VHDL 猜数字
1
基于VHDL语言信号发生器的设计.EDA课程设计报告书.doc
2022-05-13 17:34:06 285KB 文档
摘要:本文提出了一种基于VHDL语言的数字频率计的设计方案,该方案通过采用自顶向下的设计方法,用VHDL语言对状态机、计数器、十分频、同步整形电路等进行编程,用QuartusⅡ对状态机、计数器、同步整形电路、分频电路进行仿真,在FPGA上采用高频测频、低频测周、中间十分频转换的方法,设计出体积较小,性能更可靠的数字频率计。经过电路仿真和硬件测试验证了方案的可行性。   1.引言   数字频率计是通讯设备、计算机、电子产品等生产领域不可缺少的测量仪器。由于硬件设计的器件增加,使设计更加复杂,可靠性变差,延迟增加,测量误差变大。通过使用EDA技术对系统功能进行描述,运用VHDL语言,使系统
1
显示十字路口东西南北两个方向的红黄绿灯的指示状态,并能用数码管倒计时显示剩余时间。
2022-05-10 09:48:54 360KB VHDL 交通灯
1
摘要: 自己利用VHDL硬件描述语言实现的多功能电子数字钟的完整源程序,各项功能已在实验开发系统上演示,功能相当完善!还包括自己在具体开发过程中的发现的一些VHDL语言的语法问题,欢迎高手指点!
2022-05-06 20:45:16 515KB VHDL语言 数字钟
1
基于VHDL语言和FPGA的电子密码锁.caj
2022-05-06 16:40:34 11.06MB VHDL FPGA 密码锁
1
设计一个十字路口的交通灯控制器,能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态。用两组红、黄、绿三种颜色的灯分别作为东西、南北两个方向的红、黄、绿灯。变化规律为:东西绿灯亮,南北红灯亮→东西黄灯亮,南北红灯亮→东西红灯亮,南北绿灯亮→东西红灯亮,南北黄灯亮→东西绿灯亮,南北红灯亮……,这样依次循环。
2022-05-06 12:52:25 52KB 交通灯 vhdl语言
1