如题 STM32F103C8T6实现DMA串口控制一个舵机的代码
2022-06-26 15:45:25 8.29MB 串口 舵机 PWM
1
STM32F207 串口1 DMA源码 支持Printf函数,双缓冲。
2022-06-22 21:42:20 366KB STM32F207 串口1 DMA源码 PRINTF
1
采用STM32F103C8T6单片机,KeilMDK5.32版本 串口异步通信,开启收发方向,阻塞式发送(仿printf发送);非阻塞式接收数据。 PC13控制LED灯,LED灯的亮灭指示接收到数据。 程序初始化完成之后,开启接收空闲中断。 在接收空闲回调函数中,重新开启接收空闲中断(因为在进入接收回调函数前,所有与接收相关的中断已经关闭)
2022-06-22 16:44:57 16.56MB stm32 文档资料 arm 嵌入式硬件
1
xilinx xdma pdf 本手册主要讲解了赛临时的pcie+dma事例。比较清晰的讲解了IP的生成应用及基本原理。
2022-06-22 14:44:22 1.25MB xdma
1
基于PLC的PWM直流开环调速.doc
2022-06-20 13:00:32 1.19MB 互联网
由于结构上有电压环、电流环双环系统,因此,无论开关电源的电压调整率、负载调整率和瞬态响应特性都有提高,是目前比较理想的新型PWM控制器。
2022-06-20 09:31:04 115KB UC3844 文章 技术应用 电源
1
PWM呼吸灯实验 ...........................................................................................................................................
2022-06-19 19:24:23 290KB pwm
1
PWM信号发生器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Pwm is port( clk: in std_logic; --clk signal wr_n:in std_logic; --write signal addr:in std_logic; --address signal WrData:in std_logic_vector(7 downto 0); --writedata signal PwmOut:out std_logic); --Global signal end Pwm; architecture one of Pwm is signal period:std_logic_vector(7 downto 0); signal duty:std_logic_vector(7 downto 0); signal counter:std_logic_vector(7 downto 0); Begin process(clk,WrData) begin if rising_edge(clk) then if (wr_n='0') then if addr='0' then period<=WrData; duty<=duty; else period<=period; duty<=WrData; end if; else period<=period; duty<=duty; end if; end if; end process; process(clk) begin if rising_edge(clk) then if counter=0 then counter<=period; else counter<=counter-1; end if; if counter>duty then PwmOut<='0'; else PwmOut<='1'; end if; end if; end process; end one;
2022-06-19 14:28:17 20.91MB vhdl
1
产生pwm波,实现频率可调,占空比可调,并在quartus完成测试。
2022-06-18 21:35:31 1.48MB quartus 基于FPGA的PWM波发生 fpga
1
PWM整流电路是电力机车主电路的重要组成部分,它可以改善机车的功率因数和减少谐波电流对电网的干扰。两电平PWM整流电路通过PWM产生脉冲信号控制IGBT导通,实现构成矢量直角三角形以提高功率因数。通过该设计, 使我们掌握两电平整流的基本原理以及各部分的工作模式, 通过仿真控制, 比较理论实际分析PWM的整流特性。
2022-06-18 11:16:34 900KB 仿真
1