VHDL编写的16位CPU,本人也在学习中欢迎交流
2020-01-03 11:18:19 94KB CPU VHDL
1
VHDL编写的拔河游戏控制程序,可用拨码和LED来演示其过程
2019-12-21 22:25:56 428KB VHDL
1
初学VHDL在完成作业后心血来潮编写的贪吃蛇小程序,完全只使用最基本的语法,在学校机房试验箱上的altera flex10k 上使用一个8*16的led点阵进行了验证。有非随机的食物,蛇每吃3个食物张长并加速,游戏结束或没有进行则全部led均点亮……
2019-12-21 22:23:58 1.81MB VHDL Quartus altera flex10k
1
1、用键盘输入相对应的数字。 2、进行加减时,用0/1控制,当为1时,在16*16的显示板上显示加号或减号或等于号。 3、在显示加减号时,把数码管清空,以便输入第二个数。 4、在显示等号时,显示出相应的结果。 5、最多只可进行5位数字的加减运算,当输入数字式,输入到
2019-12-21 22:19:56 139KB 计算器
1
在quartus上设计的串口接收与发送,波特率为9600,起始位1位,数据位8位,校验位1位,文档包括波特率发生器模块,接收器模块,发送器模块程序设计。
2019-12-21 22:14:30 717KB VHDL串口
1
包含基本的VGA显示驱动,图形显示,以及将PS2键盘键值在屏幕上输出等功能。
2019-12-21 22:12:27 13KB FPGA VHDL VGA
1
使用VHDL编写的SRAM控制程序,绝对可用且简洁
2019-12-21 20:13:42 3KB VHDL SRAM 控制 拷贝
1
vhdl编写的移位器,实现了算术左移何右移,逻辑左移和右移,循环左移和右移。
2019-12-21 19:51:22 548B vhdl
1
利用VHDL编写的16位数字计数器,另外可在程序中修改为任意的2N分频器
2019-12-21 19:44:53 175KB VHDL 计数器 16位
1