输入:0 到 360 度之间的角度 (theta)。 输出: cos(θ) 罪(θ)
2022-04-29 09:40:42 2KB matlab
1
介绍了CORDIC算法的原理,给出了为满足某一技术指标而设计的NCO的分析过程,给出了软件仿真波形和硬件实现波形的对比。
2022-04-22 15:20:04 389KB SoPC
1
Matlab代码verilog Cordic双曲线正切 他是使用Cordic算法的双曲正切函数的实现和仿真。 .m文件仅用于仿真,验证和测试生成,但verilog脚本可以直接用作项目中的模块。 算法 使用Cordic算法来计算双曲正切函数有两种主要方法。 第一种方法是使用旋转模式直接计算它,第二方法是使用矢量化模式计算sinh和cosh,然后将它们除以另一种线性cordic算法。 在此存储库中,将实施最新的存储库。 Matlab文件 所有的matlab函数均使用定点计算实现 科迪克 使用ROM_lookup.m和cordic_Div.m实现cordic tanh算法的函数。 此功能计算出tanh并输出结果。 定点属性使用输入参数传递给函数。 ROM_lookup.m 此函数返回正切倒数。 这部分将是HDL实施中的查找表。 cordic_Div.m 该函数实现了cordic划分算法,该算法用于在cordic.m中划分sinh和cosh。 cordic_test_generator.m 该文件产生Verilog测试用例,以将其馈送到DUT测试台 cordic_test_check.m 该文
2022-04-14 19:27:12 58KB 系统开源
1
一种改进型CORDIC电路的设计及其FPGA实现,黄秋元,刘波,坐标旋转数字计算机(Coordinate Rotational Digital Computer,CORDIC)能够将复杂的三角函数运算分解为硬件易于实现的加减、移位操作,极大地�
2022-04-09 19:16:43 191KB CORDIC
1
在FPGA上实现三角函数计算,基于verilog语言,基于cordic算法,可实现16位sin和cos计算输出,计算结果需要16个时钟周期,可实现流水线输出
2022-03-24 22:54:08 1KB fpga verilog 三角函数
1
verilog 代码 cordic 正弦
2022-03-06 15:33:41 7KB verilog 代码 cordic 正弦
1
详细介绍见博客 https://blog.csdn.net/qq_42025108/article/details/123119003
2022-02-25 09:05:47 3KB 算法 fpga开发 verilog 数字信号处理
1
Altera cordic算法官方IP 可直接使用
2022-02-25 01:36:54 118KB codic
1
DFT计算常用于信号处理中求频谱值,针对利用FPGA中的NIOS Ⅱ直接进行DFT计算完成速度慢和查表法占用存储资源大的问题,采用CORDIC算法提高正余弦函数及平方根运算的计算效率,进而实现DFT的快速计算。在分析DFT和CORDIC算法的基础上,重点分析 CORDIC算法在正余弦函数及平方根运算中的应用方法,给出DFT计算的实现流程,并利用 FPGA EP3C55F484C8N芯片予以实现。通过QuartusⅡ进行时序仿真,结果表明给出的设计方法在不占用存储资源的情况下计算精度较高,运算速度快。
2022-01-12 22:17:17 333KB 工程技术 论文
1
基于CORDIC算法求解平方根的研究与FPGA实现,刘荣华,李艳萍,现代数字信号处理中,加减乘除运算使用最为频繁。开平方运算虽然没有上述运算使用的那么广泛,但作为一种基本的数学运算,其在工
2021-12-29 17:32:43 416KB 坐标旋转数字计算
1