7' TFTLCD电容触摸屏模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-7' TFTLCD电容触摸屏模块原理图 2,程序源码 ATK-7' TFTLCD 模块使用说(mini V3)_AN1510C.pdf ATK-7' TFTLCD 模块使用说明(战舰V3&精英版)_AN1510A.pdf ATK-7' TFTLCD 模块使用说明(探索者开发板)_AN1510B.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F429)_AN1612.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F767)_AN1612A.pdf ATK-7' TFTLCD 模块用户手册V2.0.pdf (寄存器版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar
ATK-0.96' OLED屏模块料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-0.96' OLED模块原理图 2,程序源码 3,模块芯片资料 ATK-0.96’ OLED模块用户手册_V1.0.pdf ATK-OLED液晶模块使用说明(NANO STM32F1)_AN1806.pdf (适合MiniSTM32开发板)实验10 OLED显示实验.rar (适合战舰V3和精英STM32F1开发板)实验12 OLED显示实验.rar (适合探索者STM32F4开发板)实验12 OLED显示实验.rar (适合阿波罗STM32F429开发板)实验11 OLED实验.rar (适合阿波罗STM32F767开发板)实验11 OLED实验.rar (寄存器版本,适合NANO STM32F1开发板) 扩展实验5 ATK-OLED液晶模块实验.rar (HAL库版本,适合NANO STM32F1开发板) 扩展实验5 ATK-OLED液晶模块实验.rar
4.3' TFTLCD电容触摸屏模块(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档), 1,ATK-4.3' TFTLCD电容触摸屏模块原理图 2,程序源码 3,其他资料 ATK-4.3' TFTLCD 模块使用说明(战舰V2&Mini V3)_AN1413.pdf ATK-4.3' TFTLCD 模块用户手册_V1.2.pdf
英特尔PCIE V3.0转10G 2.5G 1G 100Mb 以太网控制器 X550 系列硬件参考设计原理图+技术手册资料, 官方技术资料可以做为你的设计参考。
INTEL 82580EB PCIEx4转4路千兆以太网芯片82580EB 硬件参考设计原理图+技术手册资料
1