内含: 课程报告(非常详细) 仿真图 源程序
2021-07-05 09:03:48 1.08MB keil4 protues 仿真图 单片机课程设计
msp430f149 温度传感器 DS18B20
2021-06-30 16:31:06 11KB msp430f149 温度传感器 DS18B20
1
我学51单片机的一些经验,加密的,密码19880927,共包括几个部分,有LED,数码管,AD,DA,按键识别,LCD1602,串口通信,实时时钟DS1302,温度传感器DS18B20,还有一本学51C编程的书籍,觉得不错,有兴趣的看看,如果觉得我写的还行麻烦评价一下呵呵,不行也谅解啊,呵
2021-06-28 18:15:50 593KB 51单片机 DS18B20 proteus
1
温度传感器DS18B20模块软硬件技术资料+STM32单片机软件工程源码: 1-原理图 2-i_MX_RT系列配套程序 2-STM32系列配套程序 3-数据手册 DS18B20与i_MX_RT系列开发板引脚连接说明.xlsx DS18B20与STM32系列开发板引脚连接说明.xlsx
DS18B20数字温度传感器实验STM32F407开发板KEIL软件工程源码 main(void) { u8 t=0; short temperature; HAL_Init(); //初始化HAL库 Stm32_Clock_Init(336,8,2,7); //设置时钟,168Mhz delay_init(168); //初始化延时函数 uart_init(115200); //初始化USART usmart_dev.init(84); //初始化USMART LED_Init(); //初始化LED KEY_Init(); //初始化KEY LCD_Init(); //初始化LCD POINT_COLOR=RED; LCD_ShowString(30,50,200,16,16,"Explorer STM32F4"); LCD_ShowString(30,70,200,16,16,"DS18B20 TEST"); LCD_ShowString(30,90,200,16,16,"ATOM@ALIENTEK"); LCD_ShowString(30,110,200,16,16,"2017/4/15"); while(DS18B20_Init()) //DS18B20初始化 { LCD_ShowString(30,130,200,16,16,"DS18B20 Error"); delay_ms(200); LCD_Fill(30,130,239,130+16,WHITE); delay_ms(200); } LCD_ShowString(30,130,200,16,16,"DS18B20 OK"); POINT_COLOR=BLUE;//设置字体为蓝色 LCD_ShowString(30,150,200,16,16,"Temp: . C"); while(1) { if(t%10==0)//每100ms读取一次 { temperature=DS18B20_Get_Temp(); if(temperature<0) { LCD_ShowChar(30+40,150,'-',16,0); //显示负号 temperature=-temperature; //转为正数 }else LCD_ShowChar(30+40,150,' ',16,0); //去掉负号 LCD_ShowNum(30+40+8,150,temperature/10,2,16); //显示正数部分 LCD_ShowNum(30+40+32,150,temperature%10,1,16); //显示小数部分 } delay_ms(10); t++; if(t==20) { t=0; LED0=!LED0; } } }
DS18b20温度计
2021-04-29 01:33:14 196KB 51单片机 温度计
1
基于盛群单片HT4649E的的温度传感器DS18B20的C语言代码,简单易懂。。
2021-04-18 17:45:01 2KB 温度 传感器 DS18B20 C语言
1
温度传感器ds18b20读写Verilog驱动源码Quartus工程文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module temp_disp( input sys_clk , //输入的系统时钟 input sys_rst_n , //输入的复位信号 inout dq , //ds18b20温度传感器单总线 output [5:0] sel , //输出数码管位选信号 output [7:0] seg_led //输出数码管段选信号 ); //parameter define parameter POINT = 6'b000100; // 数码管小数点的位置 //wire define wire [19:0] temp_data; // 温度数值 wire sign; // 符号位 //***************************************************** //** main code //***************************************************** //例化动态数码管驱动模块 seg_led u_seg_led( //module clock .clk (sys_clk ), // 时钟信号 .rst_n (sys_rst_n), // 复位信号 //seg_led interface .seg_sel (sel ), // 位选 .seg_led (seg_led ), // 段选 //user interface .data (temp_data), // 显示的数值 .point (POINT ), // 小数点具体显示的位置,从高到低,高电平有效 .en (1'b1 ), // 数码管使能信号 .sign (sign ) // 符号位(高电平显示“-”号) ); //例化DS18B20驱动模块 ds18b20_dri u1_ds18b20_dri( //module clock .clk (sys_clk ), // 时钟信号(50MHz) .rst_n (sys_rst_n), // 复位信号 //user interface .dq (dq ), // DS18B20的DQ引脚数据 .temp_data (temp_data), // 转换后得到的温度值 .sign (sign ) // 符号位 ); endmodule
CC2540 CC2541软件例程-温度传感器DS18B20软件C源码工程文件
1
FPGA Verilog HDL设计温度传感器ds18b20温度读取并通过lcd1620和8位LED数码管显示的QUARTUS II 12.0工程文件,包括完整的设计文件.V源码,可以做为你的学习及设计参考。 module ds18b20lcd1602display ( Clk, Rst, DQ, //18B20数据端口 Txd, //串口发送端口 LCD_Data, //lcd LCD_RS, LCD_RW, LCD_En, SMData, //数码管段码 SMCom //数码管位码 ); input