一、实验任务及要求 1. 能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按2元/公里,当计费器计费达到或超过一定收费(如20元)时,每公里加收50%的车费,车停止不计费。 2. 实现预置功能:能预置起步费、每公里收费、车行加费里程。 3. 实现模拟功能:能模拟汽车启动、停止、暂停、车速等状态。 4. 设计动态扫描电路:将车费显示出来,有两位小数。 5. 用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。 6. 各计数器的计数状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。 7. 完成电路全部设计后,通过系统实验箱下载验证设计的正确性。
2021-06-30 15:47:34 915KB 出租车计费器 计数器 VHDL语言
1
本资料归于网络整理,仅供参考学习用。如有侵权,请联系删除!! qq:1391074994 1. 资料都是有论文和程序的,程序大部分是quartus的工程,有几个是ise或者vivado的工程,代码文件就是里面的V文件。 2. 我收集的每个小项目都会开源出来,欢迎关注我的博客并下载学习。 3. 每个项目的实际的项目要求和实现的现象我就不挨个去描述了,太多了!!40多个小项目。(一个包里面只有一个小项目哈) 4. 有的项目可能会有多个程序,因为用的代码有点差异,比如密码锁,就会分显示的数码管的显示个数的不同以及用的是verilog个vhdl 的差别: 5. 报告的话博客专栏里面只是展示了一小部分。链接:https://blog.csdn.net/weixin_44830487/category_10987396.html?spm=1001.2014.3001.5482 报告链接:https://blog.csdn.net/weixin_44830487/article/details/116074531
2021-06-26 09:02:36 11.99MB fpga
1
基于FPGA的出租车计费器Verilog设计
2021-06-20 12:42:00 858KB 出租车计费器 Verilog
1
是一篇关于FPGA的毕业论文,内容详细,可供用该毕业设计题目的人参考
2021-06-20 11:27:15 556KB VHDL、FPGA、
1
出租车计费器一般都是按公里计费,通常是起步价xx 元(xx 元可以行走x公里),然后再是xx 元/公里。所以要完成一个出租车计费器,就要有两个计数单位,一个用来计公里,另外一个用来计费用。通常在出租车的轮子上都有传感器,用来记录车轮转动的圈数,而车轮子的周长是固定的,所以知道了圈数自然也就知道了里程。在这个实验中,就要模拟出租车计费器的工作过程,用直流电机模拟出租车轮子,通过传感器,可以得到电机每转一周输出一个脉冲波形。 (有条件的同学再完成:显示用8 个七段码管,前四个显示里程,后四个显示费用。) 二、功能要求: 本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价3 元,准行1 公里,以后1 元/公里。时钟输入为一个1KHz的系统时钟,直流电机模块每转一圈输出一个脉冲信号给CPU,另外用按键模块的S1 来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。直流电机模块用来模拟出租车的车轮子,每转动一圈认为是行走1 米,所以每旋转1000 圈,认为车子前进1 公里。系统设计是需要检测电机的转动情况,每转一周,计米计数器增加1。
2021-06-04 08:45:17 12KB EDA 课程设 出租车
1
功能如下(1)自动计费器计费部分应包括行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,并且最大金额为99.99元。 (2)行车里程单价设为1.80元/km,等候时间计费设为1.5元/10分钟,起步费设为8.00元。要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1 km或等候不足10分钟则忽略计费。 (3)在启动和停车时给出声音提示。
1
此设计绝对真实可靠,可以运行,且对课程设计有所拓展,希望对需要此文档的同志有所帮助,本人拒绝上传垃圾文件,绝对无抄袭。此文档的开发平台是长沙理工大学城南学院的EDA实验箱,不是此类实验箱的同志仍可以参考!
2021-05-22 20:25:21 889KB FPGA EDA 出租车计费器 音乐播放器
1
multisim仿真实验
2021-05-22 09:02:44 2.5MB multisim 仿真实验
1
这是一篇关于FPGA的出租车计费器设计的文章,这是我本科时候的毕业设计的论文,文档的内容表述的非常清晰,格式十分规范,对写毕业论文或者课程设计的同学会有很大的帮助!
2021-04-26 15:08:42 372KB FPGA 出租车计费器 毕业设计论文
1
硬件环境:DDA-IIIA学习板 软件环境:Quartus II 语言:VHDL (1)设一个出租车自动计费器,计费包括起步价、行驶计费和等待计费三个部分,用 2 个数码管显示出金额数目,最大值为 99元,最小计价单位为 1元。行驶里程在 3 公 里范围内且等待时间未超过三分钟时按起步价 8 元计费;行驶里程超过三公里后按每公里 2 元收费;等待时间超过三分钟后按每分钟 1 元收费。等待时间用2个数码管显示,最大值为59分钟。 总费用=起步价+(里程-3km)*里程单价+(等待时间-3)*等候单价 。(多久转一圈给一次脉冲) (2)能够实现的功能: 显示汽车行驶里程:用2位数字显示,单位为 km。 计程范围为 0~99km,计程分辨率为 1km。显示等候时间:用2位数字显示分钟,单位为 min。计时范围为 0~59min,计时分辨率 为 1min。 显示总费用:用2位数字显示,单位为元。计价范围为 99元,计价分辨率为 1 元。
2021-04-24 23:44:21 1013KB 数电课程设计 实训 VHDL 出租车计费器
1