此计费器与事实相符,可直接用于出租车 --计费模块 library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.ALL; use ieee.std_logic_unsigned.all; entity JIFEI is port(start,stop,js:in std_logic; LUCHEN:in INTEGER RANGE 0 TO 999; CLK_1:IN STD_LOGIC; DENGDAI:OUT INTEGER RANGE 0 TO 9; CHEFEI:out integer RANGE 0 TO 9999); end JIFEI; architecture BEHAV of JIFEI is signal CF:integer RANGE 0 TO 9999; signal JSH1:integer range 0 to 179; signal JSH2:integer range 0 to 59; SIGNAL DD: INTEGER RANGE 0 TO 9; begin process(LUCHEN,CLK_1,start,stop,js) begin IF CLK_1'EVENT AND CLK_1='1' THEN if stop='1' then CF<=0; DD<=0; end if; IF start='1' and stop='0' then if js='1' then if LUCHEN<2 then CF<=60; END IF; if 2<=LUCHEN AND LUCHEN<10 THEN CF=10 THEN CF<=CF+21; END IF; END IF; IF JS='0' THEN IF JSH1=179 THEN JSH1<=0; CF<=CF+7; ELSE JSH1<=JSH1+1; IF JSH2=59 THEN DD<=DD+1; JSH2<=0; ELSE JSH2<=JSH2+1; END IF; END IF; END IF; END IF; END IF; end process; DENGDAI<=DD; CHEFEI<=CF; end BEHAV;
2021-10-27 09:21:30 4.13MB 出租车计费器
1
收费标准如下: 1公里以内:3元 (起步价) 1-1.5公里:4元 1.5-2公里:5元 2-2.3公里:6元 2.3-2.7公里:7元 2.7-3公里: 8元 3~10公里: 1.4元/公里 10公里以外: 2.1元/公里(加50%返程费用) 并且每次加收0.5元燃油附加费。
2021-10-21 20:32:47 43KB VHDL 出租车计费
1
基于FPGA的出租车计费器的设计,整个论文 详细
2021-10-13 19:47:31 4.01MB 出租车计费器 FPGA
1
出租车起步价14元,含3公里 起步价之后,每公里2.5元 晚上11点之后(含),次日6点前(不含)起步价18元,含3公里 晚上起步价之后,每公里3元 10公里之后,白天每公里3.5元,晚上每公里4.7元 外环的出租车10公里之外的价格与10公里之内相同 等候费用是10分钟一元. 请编写代码表示出上述的功能 要求: 1. 体现出良好的设计,使得代码具有一定的灵活性和扩展性 2. 并提供测试代码 3.请使用面向对像,合理使用设计模式.
2021-10-11 11:39:16 51KB java 设计模式 出租车计费程序设计
1
PIC单片机 液晶显示 语音系统 用C语言编写的程序
1
EDA出租车计费器课程设设计出租车计费器课程设计实验报告 一 、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、界面友好,使用方便。 3、程序精炼,结构清晰。 三、进度安排 第九周 星期二: 课题讲解,查阅资料   星期二: 总体设计,详细设计 星期三: 编程,上机调试、修改程序 第十周 星期四: 上机调试、完善程序 第十二周 星期六-星期天:撰写课程设计报告
2021-09-26 16:22:56 2.74MB 课程设设计
1
出租车计费器的FPGA实现(含VHDL代码)
2021-09-18 10:55:31 781KB 出租车 计费器 fpga 实现
1
数字逻辑课程设计 VHDL编写的出租车计费器,完美运行
1
1、用Proteus设计原理图,要求显示出里程,速度,总价 2、用信号发生器来产生出租车的模拟信号,每个脉冲相当于轮胎转了一圈。 3、出租车轮胎周长按1.83米计算。2公里以内按8元计算,超过2公里每公里按2.6元计算。不考虑其他费用。 4、速度的单位为公里/小时,里程的单位为公里(有两位小数)。当信号发生器的输出为10HZ(每秒转10圈)时,速度应该为65.8公里/小时。
2021-09-17 15:07:00 23KB 51单片机 汇编语言
1
为生产可靠、稳定且灵活性好、开发周期短、效率高、维护简单的出租车计费器,提出了在QuartusII 9.0 软件平台上,基于FPGA的出租车计费器的设计方案。通过VHDL描述出租车计费系统的操作流程及控制方案,实现模拟汽车的启动、停止、计费、暂停、预置等功能,并用LCD1602显示车费、里程、等待时间、行驶单价等信息。该系统配合车速传感器可应用在不同车型的出租车上,并达到预期功能。
1