具体功能如下: 1.白天起步价为10元,里程为3公里;起步里程之后单价为1.8元/公里;晚上(22:00-7:00)单价增加50%; 2.实时显示总金额与总里程,最大值分别为999.9元与99.9公里; 3.可以进行起步价和每公里单价的设定; 4.显示乘车过程等待时间,车运动时停止计时,车停止时计时;途中等待超过10min 开始收费,等待单价是0.1元/分钟,计入总价中; 5.能够显示当前的系统时间; 6.选择传感器等器件; 7.语音播报数据信; 8.数据存储:设定的起步价、单价、总价、总里程;
2019-12-21 22:11:49 6.47MB 出租车计价器
1
基于89c51单片机出租车计价器设计,包含protues仿真原理图和c语言源程序,亲自调试成功。
1
1.设计一个出租车自动计费器,总价包括起步价(4元)、正常行驶计费和等待时间计费三个部分。2.起步价4元限制在3公里内,且等待时间不超过2分钟;3公里外按2元每/公里计费;等待时间按每2分钟1元计费。3.最终结果用数码管显示出来,包括行驶公里总数、等待时间和总价三个部分。
2019-12-21 20:25:24 11KB VHDL 出租车计价器
1
2.1,出租车计价器的要求: ◇ 行程3公里内(包括3公里),且等待累计时间2分钟内(包括2分钟),起步费为10元; ◇ 3公里外(不包括3公里)以每公里2元,等待累计时间2分钟外(不包括2分钟)以每分钟以1.0元计费。 ◇ 能显示行驶公里数、等待累计时间和最后的总费用。 本计费器的显示范围为0~99元,计价分辨率为1元;计程器显示范围为0~99公里,分辨率为1公里;计时器的显示范围是分钟的显示范围是0—99, 辨率为1分钟。秒的显示范围是0—59。辨率为1秒。
2019-12-21 20:12:08 1023KB FPGA 出租车计价器
1
基于FPGA的出租车计价器设计verilog源代码
2019-12-21 20:00:18 2.85MB FPGA 出租车计价器 verilog源代码
1