STM32F103RBT6设计全双工音频对讲机评估板PDF原理图PCB+3DF封装库+单片机软件源码文件, ALTIUM工程转的PDF原理图PCB文件+AD集成封装库,已在项目中验证,可以做为你的设计参考。集成封装库器件列表: Library Component Count : 33 Name Description ---------------------------------------------------------------------------------------------------- AO3401 CAP Capacitor CRYSTAL Crystal Cap Pol1 Polarized Capacitor (Radial) Cap Pol2 Polarized Capacitor (Axial) Cap Pol3 Polarized Capacitor (Surface Mount) Cap2 Capacitor D Schottky Schottky Diode FB Header 2 Header, 2-Pin Header 3 Header, 3-Pin Header 5 Header, 5-Pin Inductor Inductor L78M09CDT Positive Voltage Regulator LTC1144IS8 LTC1144IS8 Lamp Incandescent Bulb MAX3232EEAE ?5kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver MAX485E MC306 MHDR1X5 Header, 5-Pin MHDR2X2 Header, 2-Pin, Dual row MIC MIC5205 800mA Low Dropout Positive Regulator Fixed 2.85V,3V,3.3V and 5V MP2359 MP2359 OPA4227 PJ-317 RES RES2 RESISTOR_Dup1 RES,5%,1/10W,SMD0603 Res Semi Semiconductor Resistor Res3 Resistor STM32F103RBT6 STM32 ARM-based 32-bit MCU with 128 Kbytes Flash, 64-pin LQFP, Industrial Temperature res1 Resistor
中文摘要与关键词…………………………………………………………………Ⅰ Abstract and Key Words…………………………………………………………Ⅱ 引言……………………………………………………………………………………1 1.绪论……………………………………………………………………………1 1.1项目研究的背景与意义………………………………………………………1 1.2本论文研究的内容……………………………………………………………2 2.系统的相关技术理论………………………………………………………………2 2.1 固件及Boot Loader的基本概念……………………………………………2 2.1.1固件的基本概念及其重要性…………………………………………2 2.1.2 Boot Loader的基本概念及其作用……………………………………3 2.2 基本原件的介绍 ……………………………………………………………3 2.2.1 STM32F103系列单片机简介………………………………………3 2.2.2 RS485转串口…………………………………………………………4 2.3 XModem协议…………………………………………………………………5 2.4 超级终端………………………………………………………………………5 3.系统的分析与设计…………………………………………………………………6 3.1 固件搬运基本原理及Flash划分………………………………………………6 3.1.1基本原理………………………………………………………………6 3.1.2Flash的划分方式……………………………………………………6 3.2系统工作流程…………………………………………………………………7 4.系统的设计与实现………………………………………………………………8 4.1 硬件连线设计…………………………………………………………………8 4.2 Boot Loader程序设计………………………………………………………9 4.3 APP程序设计………………………………………………………………11 4.4 Xmodem程序设计……………………………………………………………12 4.4.1Xmodem协议控制符定义……………………………………………12 4.4.2Xmodem协议帧包格式………………………………………………12 4.4.3Xmodem校验和交互流程……………………………………………12 5.系统的调试………………………………………………………………………15 5.1 硬件连接图……………………………………………………………………15 5.2 调试……………………………………………………………………………16 6.结语……………………………………………………………………………18 参考文献……………………………………………………………………………19 致 谢…………………………………………………………………………20 附录1 …………………………………………………………………………21 附录2 ……………………………………………………………………………22 附录3 程序…………………………………………………………………………23
2021-03-23 17:14:38 701KB 单片机 固件搬运 功能设计
MINIUSB接口供电EPM240 CPLD三色LEDE灯爱心灯板Protel99se设计硬件原理图PCB+VERILOG 逻辑工程源码文件,硬件2层板设计,大小为66x57mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,已经制板测试使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 CPLD芯片为MAX2系列中的EPM240T100C5,2版3色流水灯及灯闪DEMO QUARTUS逻辑工程文件,逻辑工程软件版本为 Quartus II 10.1 (32-Bit) timescale 1ns/100ps module love_heart( clk, resetb, key_in_a, key_in_b, led_out_b, led_out_r, led_out_g ); input clk; input resetb; input key_in_a; input key_in_b; output[23:0] led_out_b; output[23:0] led_out_r; output[23:0] led_out_g; reg[23:0] led_out_b; reg[23:0] led_out_r; reg[23:0] led_out_g; //*****************************led_counter********************************* reg[31:0] led_counter; always@(posedge clk or negedge resetb) begin if (!resetb) led_counter <=0; else led_counter <= led_counter +1'b1; end //*********************led_out_b********************************** always@(posedge clk or negedge resetb) begin if (!resetb) led_out_b <=24'hfffffff; else case(led_counter[28:25]) 4'h1: led_out_b <=24'h0000000; 4'h2: led_out_b <=24'hfffffff; 4'h7: led_out_b <=24'h0000000; 4'h8: led_out_b <=24'hfffffff; 4'h9: led_out_b <=24'h0000000; 4'ha: led_out_b <=24'hfffffff; 4'hb: led_out_b <=24'hfffffff; 4'hc: led_out_b <=24'hfffffff; 4'hd: led_out_b <=24'h0000000; 4'he: led_out_b <=24'hfffffff; default: led_out_b <= 24'hfffffff; endcase end //*********************led_out_r********************************** always@(posedge clk or negedge resetb) begin if (!resetb) led_out_r <=24'hfffffff; else case(led_counter[28:25]) 4'h3: led_out_r <=24'h0000000; 4'h4: led_out_r <=24'hfffffff; 4'h7: led_out_r <=24'h0000000; 4'h8: led_out_r <=24'hfff
基于JSP+Mysql的银行柜员业务绩效考核系统的设计与实现论文资料+软件源码+视频说明, 业务绩效考核系统主要目的是使绩效考核信息管理清晰化,基本功能包括:权限处理模块、输入模块、处理模块、统计输出模块、维护模块等。本系统结构如下: 系统功能模块: 1.权限处理模块:管理员与普通柜员,管理员进入的是管理员页面,普通柜员进入普通柜员的界面。 2.输入模块 2.1 普通柜员用户注册:普通柜员通过输入用户名(工号即用户名),名字,密码进行注册,获得进入本系统权限。 2.2 柜员选择柜员身份登入:柜员进入后可以查看本人工作情况的信息。 2.3 管理员界面:登入后,记录柜员工作情况:输入柜员工号,柜员名字,记录业务笔数,最后一栏并记录柜员员工加减分情况(笔数处于10的整数部分为加减分情况,以10分为上限)。除此之外,管理员还能对普通柜员的权限进行修改,删除不必要的用户。 2.4 对管理员输入数据进行处理:比如工号只能为7位,开头必须是882;加分减分的值不能大于10等等。 3.处理模块 3.1 查询:输入柜员工号,可以查询到该柜员的工作情况以及加分减分情况及薪酬情况;按日期查询,可以查询到该日期本合作银行每位柜员的工作情况及加减分情况。 3.2 薪酬查询:柜员薪酬计算应计算考核薪酬除以10分为标准分值,考核实际得分乘以分值为可兑现年薪(总行班子成员18000元/季、中层正职12000元/季、中层副职9000元/季、员工6000元/季、短期合同工(三年以下)1500元/季。) 月总分/月天数/10*薪酬标准 4.统计输出模块:比如可以统计本合作银行业务笔数由多到少排名,加减分情况排名。
基于Java的图书管理系统设计与实现.doc
1
基于JSP物流信息网的设计与实现毕业设计论文文档+源码文件+视频说明,物流信息网主要用于实现网上自主物流,基本功能包括:登录、查询、时效查询、价格查询、注册等。本系统结构如下: (1)普通用户: 登录:账号、密码; 查询:通过快递编号进行查询; 时效查询:根据出发地和接收地进行查询; 价格查询:根据出发地,接收地以及重量进行查询; 注册:用户进行注册; 合作伙伴:和各个物流公司进行合作。 (2)管理员: 管理员登录:对于已经登录的用户,若权限为管理员,可以进行管理员的登录,登陆之后才能有权限进行下一步操作; 物流管理:对物流进行增删改查操作; 地址管理:对物流的到达地址进行管理; 用户管理:用户注册成功后,管理员可以对用户进行添加、删除操作。
基于java新闻发布及管理系统实现论文+源码+视频说明,Java新闻发布系统新闻发布及管理系统就是一个能够在网上实现新闻的发布及管理,让人们更好的获取更新的新闻资讯。 (1)用户管理: 用户注册:新用户注册 用户登录:新用户登录 找回密码:忘记密码找回密码 用户评论:发表对新闻的评论 (2)管理员管理: 系统管理: 系统账号管理:管理员管理系统现有账号,进行删除停用等操作 系统公告管理:系统公告的发布和删除 新闻栏目管理:新闻栏目的新增和删除 新闻资讯管理:新闻资讯的新增和删除 注册用户管理:注册用户的删除和冻结 友情链接管理:添加和删除友情链接功能 新闻评论管理:删除用户不当评论
FPGA读写SD卡Verilog设计逻辑Quartus工程源码文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module top_sd_rw( input sys_clk , //系统时钟 input sys_rst_n , //系统复位,低电平有效 //SD卡接口 input sd_miso , //SD卡SPI串行输入数据信号 output sd_clk , //SD卡SPI时钟信号 output sd_cs , //SD卡SPI片选信号 output sd_mosi , //SD卡SPI串行输出数据信号 //LED output [3:0] led //LED灯 ); //wire define wire clk_ref ; wire clk_ref_180deg ; wire rst_n ; wire locked ; wire wr_start_en ; //开始写SD卡数据信号 wire [31:0] wr_sec_addr ; //写数据扇区地址 wire [15:0] wr_data ; //写数据 wire rd_start_en ; //开始写SD卡数据信号 wire [31:0] rd_sec_addr ; //读数据扇区地址 wire error_flag ; //SD卡读写错误的标志 wire wr_busy ; //写数据忙信号 wire wr_req ; //写数据请求信号 wire rd_busy ; //读忙信号 wire rd_val_en ; //数据读取有效使能信号 wire [15:0] rd_val_data ; //读数据 wire sd_init_done ; //SD卡初始化完成信号 //***************************************************** //** main code //***************************************************** assign rst_n = sys_rst_n & locked; //锁相环 pll_clk u_pll_clk( .areset (1'b0 ), .inclk0 (sys_clk ), .c0 (clk_ref ), .c1 (clk_ref_180deg), .locked (locked ) ); //产生SD卡测试数据 data_gen u_data_gen( .clk (clk_ref), .rst_n (rst_n), .sd_init_done (sd_init_done), .wr_busy (wr_busy), .wr_req (wr_req), .wr_start_en (wr_start_en), .wr_sec_addr (wr_sec_addr), .wr_data (wr_data), .rd_val_en (rd_val_en), .rd_val_da
vga接口显示FPGA片内ram存储图片Verilog设计逻辑Quartus工程源码文件,图片存储在片内ROM中,并通过VGA在屏幕上显示,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module vga_rom_pic( input sys_clk, //系统时钟 input sys_rst_n, //复位信号 //VGA接口 output vga_hs, //行同步信号 output vga_vs, //场同步信号 output [15:0] vga_rgb //红绿蓝三原色输出 ); //wire define wire vga_clk_w; //PLL分频得到25Mhz时钟 wire locked_w; //PLL输出稳定信号 wire rst_n_w; //内部复位信号 wire [15:0] pixel_data_w; //像素点数据 wire [ 9:0] pixel_xpos_w; //像素点横坐标 wire [ 9:0] pixel_ypos_w; //像素点纵坐标 //***************************************************** //** main code //***************************************************** //待PLL输出稳定之后,停止复位 assign rst_n_w = sys_rst_n && locked_w; vga_pll u_vga_pll( //时钟分频模块 .inclk0 (sys_clk), .areset (~sys_rst_n), .c0 (vga_clk_w), //VGA时钟 25M .locked (locked_w) ); vga_driver u_vga_driver( .vga_clk (vga_clk_w), .sys_rst_n (rst_n_w), .vga_hs (vga_hs), .vga_vs (vga_vs), .vga_rgb (vga_rgb), .pixel_data (pixel_data_w), .pixel_xpos (pixel_xpos_w), .pixel_ypos (pixel_ypos_w) ); vga_display u_vga_display( .vga_clk (vga_clk_w), .sys_rst_n (rst_n_w), .pixel_xpos (pixel_xpos_w), .pixel_ypos (pixel_ypos_w), .pixel_data (pixel_data_w) ); endmodule
FPGA设计实现OV5640 摄像头采集数据VGA显示输出Verilog设计逻辑Quartus工程源码文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module ov5640_rgb565_1024x768_vga( input sys_clk , //系统时钟 input sys_rst_n , //系统复位,低电平有效 //摄像头接口 input cam_pclk , //cmos 数据像素时钟 input cam_vsync , //cmos 场同步信号 input cam_href , //cmos 行同步信号 input [7:0] cam_data , //cmos 数据 output cam_rst_n , //cmos 复位信号,低电平有效 output cam_pwdn , //cmos 电源休眠模式选择信号 output cam_scl , //cmos SCCB_SCL线 inout cam_sda , //cmos SCCB_SDA线 //SDRAM接口 output sdram_clk , //SDRAM 时钟 output sdram_cke , //SDRAM 时钟有效 output sdram_cs_n , //SDRAM 片选 output sdram_ras_n , //SDRAM 行有效 output sdram_cas_n , //SDRAM 列有效 output sdram_we_n , //SDRAM 写有效 output [1:0] sdram_ba , //SDRAM Bank地址 output [1:0] sdram_dqm , //SDRAM 数据掩码 output [12:0] sdram_addr , //SDRAM 地址 inout [15:0] sdram_data , //SDRAM 数据 //VGA接口 output vga_hs , //行同步信号 output vga_vs , //场同步信号 output [15:0] vga_rgb //红绿蓝三原色输出 ); //parameter define parameter SLAVE_ADDR = 7'h3c ; //OV5640的器件地址7'h3c parameter BIT_CTRL = 1'b1 ; //OV5640的字节地址为16位 0:8位 1:16位 parameter CLK_FREQ = 26'd65_000_000; //i2c_dri模块的驱动时钟频率 65MHz parameter I2C_FREQ = 18'd250_000 ; //I2C的SCL时钟频率,不超过400KHz parameter CMOS_H_PIXEL = 24'd1024 ; //CMOS水平方向像素个数,用于设置SDRAM缓存大小 parameter CMOS_V_PIXEL = 24'd768 ; //CMOS垂直方向像素个数,用于设置SDRAM缓存大小 //wire define wire clk_100m ; //100mhz时钟,SDRAM操作时钟 wire clk_100m_shift ; //100mhz时