目录 1 前 言 3 1.1系统开发背景 3 1.2系统开发意义 4 2 相关技术分析及开发环境介绍 5 2.1系统开发环境 5 2.2系统开发技术简介 5 2.2.1B/S 体系结构 5 2.2.2PHP 简介 5 2.2.3MYSQL 数据库技术简介 6 3 需求分析 7 3.1需求分析 7 3.1.1 系统角色 7 3.1.2 功能需求 7 3.1.3非功能性需求分析 9 3.1.4系统访问流程 9 3.2可行性分析 12 3.2.1技术可行性 12 3.2.2 操作可行性 12 3.2.3 经济可行性 12 4 系统设计 14 4.1 系统功能 14 4.1.1 功能设计 14 4.1.2 系统功能结构图 16 4.2数据库设计 19 4.2.1数据库总体关系图 19 4.2.2 数据库表 20 5 系统功能实现 26 5.1 登录模块 26 5.2 主页模块 27 5.3商品信息添加模块 28 5.4商品入库添加模块 29 5.5商品出库添加模块 30 5.6 上传模块 31 5.7 打印,导出 Excel 模块 32 5.8 搜索模块 32 5.9管理员账号管理模块 33 5.10密码修改模块 34 5.11部门管理员信息模块 35 5.12员工信息管理模块 36 5.13仓库信息管理模块 36 5.14物品申请管理模块 36 5.15个人资料管理模块 37 5.16信息公告管理模块 37 6 系统功能测试 1 6.1部门管理员账号添加功能测试 1 6.2商品信息添加功能测试 1 6.3商品出库管理测试 2 6.4物品申请测试 2 6.5测试结论 3 7 总结与展望 1 参考文献 1 致谢
家庭理财系统的设计与实现(论文,范文,源代码),供参考,包括家庭理财系统的设计与实现论文及家庭理财系统的设计与实现毕业设计源代码.值得下载一看.
2021-03-29 10:06:56 3.86MB 家庭理财系统
1
简单的控制台程序,全部代码用C语言实现,可直接运行。基于c/s模型设计,先运行server.c再运行client.c
2021-03-28 15:07:43 2KB 代码 源码 文件传输 TCP
1
FPGA设计读取SD卡中的图片并通过TFT-LCD屏显示输出的Verilog设计Quartus工程源码文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module top_sd_photo_lcd( input sys_clk , //系统时钟 input sys_rst_n , //系统复位,低电平有效 //SD卡接口 input sd_miso , //SD卡SPI串行输入数据信号 output sd_clk , //SD卡SPI时钟信号 output sd_cs , //SD卡SPI片选信号 output sd_mosi , //SD卡SPI串行输出数据信号 //SDRAM接口 output sdram_clk , //SDRAM 时钟 output sdram_cke , //SDRAM 时钟有效 output sdram_cs_n , //SDRAM 片选 output sdram_ras_n , //SDRAM 行有效 output sdram_cas_n , //SDRAM 列有效 output sdram_we_n , //SDRAM 写有效 output [1:0] sdram_ba , //SDRAM Bank地址 output [1:0] sdram_dqm , //SDRAM 数据掩码 output [12:0] sdram_addr , //SDRAM 地址 inout [15:0] sdram_data , //SDRAM 数据 //lcd接口 output lcd_hs , //LCD 行同步信号 output lcd_vs , //LCD 场同步信号 output lcd_de , //LCD 数据输入使能 output [15:0] lcd_rgb , //LCD RGB565颜色数据 output lcd_bl , //LCD 背光控制信号 output lcd_rst , //LCD 复位信号 output lcd_pclk //LCD 采样时钟 ); //parameter define parameter PHOTO_H_PIXEL = 24'd800 ; //设置SDRAM缓存大小 parameter PHOTO_V_PIXEL = 24'd480 ; //设置SDRAM缓存大小 //wire define wire clk_100m ; //100mhz时钟,SDRAM操作时钟 wire clk_100m_shift ; //100mhz时钟,SDRAM相位偏移时钟 wire clk_50m ; wire clk_50m_180deg ; wire clk_33_3m ; wire rst_n ; wire locked ; wire sys_init_done ; //系统初始化
8段数码管静态显示Verilog设计逻辑Quartus工程源码文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module seg_led_static_top ( input sys_clk , // 系统时钟 input sys_rst_n, // 系统复位信号(低有效) output [5:0] sel , // 数码管位选 output [7:0] seg_led // 数码管段选 ); //parameter define parameter TIME_SHOW = 25'd25000_000; // 数码管变化的时间间隔0.5s //wire define wire add_flag; // 数码管变化的通知信号 //***************************************************** //** main code //***************************************************** //每隔0.5s产生一个时钟周期的脉冲信号 time_count #(.MAX_NUM(TIME_SHOW) ) u_time_count( .clk (sys_clk ), .rst_n (sys_rst_n), .flag (add_flag ) ); //每当脉冲信号到达时,使数码管显示的数值加1 seg_led_static u_seg_led_static ( .clk (sys_clk ), .rst_n (sys_rst_n), .add_flag (add_flag ), .sel (sel ), .seg_led (seg_led ) ); endmodule
FPGA设计LCD屏幕显示彩条Verilog设计Quartus工程源码文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module lcd_rgb_colorbar( input sys_clk, //系统时钟 input sys_rst_n, //系统复位 //RGB LCD接口 output lcd_de, //LCD 数据使能信号 output lcd_hs, //LCD 行同步信号 output lcd_vs, //LCD 场同步信号 output lcd_clk, //LCD 像素时钟 inout [15:0] lcd_rgb, //LCD RGB565颜色数据 output lcd_rst, output lcd_bl ); wire [15:0] lcd_id ; //LCD屏ID wire lcd_pclk ; //LCD像素时钟 wire [10:0] pixel_xpos; //当前像素点横坐标 wire [10:0] pixel_ypos; //当前像素点纵坐标 wire [10:0] h_disp ; //LCD屏水平分辨率 wire [10:0] v_disp ; //LCD屏垂直分辨率 wire [15:0] pixel_data; //像素数据 wire [15:0] lcd_rgb_o ; //输出的像素数据 wire [15:0] lcd_rgb_i ; //输入的像素数据 //***************************************************** //** main code //***************************************************** //像素数据方向切换 assign lcd_rgb = lcd_de ? lcd_rgb_o : {16{1'bz}}; assign lcd_rgb_i = lcd_rgb; //读LCD ID模块 rd_id u_rd_id( .clk (sys_clk ), .rst_n (sys_rst_n), .lcd_rgb (lcd_rgb_i), .lcd_id (lcd_id ) ); //时钟分频模块 clk_div u_clk_div( .clk (sys_clk ), .rst_n (sys_rst_n), .lcd_id (lcd_id ), .lcd_pclk (lcd_pclk ) ); //LCD显示模块 lcd_display u_lcd_display( .lcd_pclk (lcd_pclk ), .rst_n (sys_rst_n ), .pixel_xpos (pixel_xpos), .pixel_ypos (pixel_ypos), .h_disp (h_disp ), .v_disp (v_disp ), .pixel_data (pixel_data) ); //LCD驱动模块 lcd_driver u_lcd_driver( .lcd_pclk (lcd_pclk ), .rst_n (sys_rst_n ), .lcd_id (lcd_id ), .pixel_data (pixel_data)
STM32F407开发板基础例程STM32F407单片机开发板10例KEIL4工程源码文件,包括:STM32F407 ARM 单片机开发板_18b20温度检测程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_CAN1 NORMAL模式测试程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_Eeprom_24C02程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_NandFlash读写程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_NorFlash程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_nrf24l01程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_SRAM程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_TEA5767程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_usb to 232程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_usb_fs程序 KEIL 软件C源码工程文件.zip STM32F407 ARM 单片机开发板_usb_hs程序 KEIL 软件C源码工程文件.zip
汉远科技TMS320F28335 DSP多功能旗舰板开发板14例CCS设计软件DEMO源码文件,例程包括:88matrix 8LEDS ADC_amplifier BUZZ DC_MOTOR EEPROM HyDDB_SCI_to_PC KEYS LCD12864_28335 LED_light_water RELAY STEP_MOTOR SWITCH TMP 可以作为你DSP开发的软件设计参考。
FPGA设计VGA接口显示字符Verilog设计Quartus工程源码文件,FPGA型号Cyclone4E系列中的EP4CE10F17C8,Quartus版本18.0。 module vga_char( input sys_clk, //系统时钟 input sys_rst_n, //复位信号 //VGA接口 output vga_hs, //行同步信号 output vga_vs, //场同步信号 output [15:0] vga_rgb //红绿蓝三原色输出 ); //wire define wire vga_clk_w; //PLL分频得到25Mhz时钟 wire locked_w; //PLL输出稳定信号 wire rst_n_w; //内部复位信号 wire [15:0] pixel_data_w; //像素点数据 wire [ 9:0] pixel_xpos_w; //像素点横坐标 wire [ 9:0] pixel_ypos_w; //像素点纵坐标 //***************************************************** //** main code //***************************************************** //待PLL输出稳定之后,停止复位 assign rst_n_w = sys_rst_n && locked_w; vga_pll u_vga_pll( //时钟分频模块 .inclk0 (sys_clk), .areset (~sys_rst_n), .c0 (vga_clk_w), //VGA时钟 25M .locked (locked_w) ); vga_driver u_vga_driver( .vga_clk (vga_clk_w), .sys_rst_n (rst_n_w), .vga_hs (vga_hs), .vga_vs (vga_vs), .vga_rgb (vga_rgb), .pixel_data (pixel_data_w), .pixel_xpos (pixel_xpos_w), .pixel_ypos (pixel_ypos_w) ); vga_display u_vga_display( .vga_clk (vga_clk_w), .sys_rst_n (rst_n_w), .pixel_xpos (pixel_xpos_w), .pixel_ypos (pixel_ypos_w), .pixel_data (pixel_data_w) ); endmodule
STM32F103RBT6设计全双工音频对讲机ALTIUM设计硬件原理图PCB+3DF封装库+单片机软件源码文件,2层板设计,大小为53x58mm,Altium Designer 设计的工程文件,包括完整的原理图及PCB文件+单片机软件源码,可以用Altium(AD)软件打开或修改,可作为你产品设计的参考。集成封器件型号列表: Library Component Count : 33 Name Description ---------------------------------------------------------------------------------------------------- AO3401 CAP Capacitor CRYSTAL Crystal Cap Pol1 Polarized Capacitor (Radial) Cap Pol2 Polarized Capacitor (Axial) Cap Pol3 Polarized Capacitor (Surface Mount) Cap2 Capacitor D Schottky Schottky Diode FB Header 2 Header, 2-Pin Header 3 Header, 3-Pin Header 5 Header, 5-Pin Inductor Inductor L78M09CDT Positive Voltage Regulator LTC1144IS8 LTC1144IS8 Lamp Incandescent Bulb MAX3232EEAE ?5kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver MAX485E MC306 MHDR1X5 Header, 5-Pin MHDR2X2 Header, 2-Pin, Dual row MIC MIC5205 800mA Low Dropout Positive Regulator Fixed 2.85V,3V,3.3V and 5V MP2359 MP2359 OPA4227 PJ-317 RES RES2 RESISTOR_Dup1 RES,5%,1/10W,SMD0603 Res Semi Semiconductor Resistor Res3 Resistor STM32F103RBT6 STM32 ARM-based 32-bit MCU with 128 Kbytes Flash, 64-pin LQFP, Industrial Temperature res1 Resistor