一个基于android的秒表计时器,需实现以下功能: 能够显示时间并且要精确到毫秒。 能够实现人机的简单交互功能,清零,暂停等功能。 能够实现多个时间的计时功能即分段次数的计数。 能够实现最大显示时间到小时。
2019-12-21 21:28:09 1.42MB android 秒表
1
实现秒表功能,可以设置计时时间,开始,暂停,停止
2019-12-21 21:26:20 1.43MB android秒表
1
设计主要是对51单片机的一个方面的扩展,是能实现一般定时功能的设计。系统采用单片机AT89C51作为本设计的核心元件,在其基础上外围扩展芯片和外围电路,附加时钟电路,复位电路,键盘接口及LED显示器,键盘采用独立连接式。
2019-12-21 21:22:17 586KB 秒表设计
1
原理图用protues画的,程序用汇编写的,三个数码管显示数字,三个开关实现数码管的开始,停止,清零
2019-12-21 21:20:11 267KB 单片机 秒表 汇编 课程总结
1
1.利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该秒表计时范围为0秒~59分59.99秒,显示的最长时间为59分59秒,计时精度为10毫秒,并且具有复位功能。复位开关一旦打开所有位都为0。 2.秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。
2019-12-21 21:19:16 208KB 数字表
1
(1. 开始时,显示“00”,第1次按下SP1后就开始计时。 (2. 第2次按SP1后,计时停止。 (3. 第3次按SP1后,计时归零
2019-12-21 21:14:28 29KB 单片机 汇编 秒表 99秒马表
1
基于VHDL课程设计——电子秒基于VHDL课程设计——电子秒表
2019-12-21 21:12:31 883KB 基于VHDL课程设计——电子秒表
1
秒表的实现主要通过Handler延迟发送消息来实现的,因为我们这里是精确到0.1秒,所以延迟100ms进行发送
2019-12-21 21:10:42 2.57MB 秒表
1
AT89C52做的秒表,启停,复位。 更多仿真参见我的博客:http://blog.csdn.net/st441747863/article/details/61619753
2019-12-21 21:10:01 458KB AT89C52 定时器 T2 秒表
1
数字秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、十进制计数器、6进制计数器、数据选择器和译码器等组成。整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动,计数器的输出全都为BCD码输出,方便显示译码器连接。本设计基于简单易行的原则,秒表显示以0.1s为最小单位,最大量程为9.9s,采用七段数码管作为显示部分,以此来达到基本设计要求.
2019-12-21 21:07:59 36KB 电子秒表 时基电路
1