硬判决Viterbi译码代码
2021-05-21 14:56:57 2KB 维特比
1
卷积编解码的工作原理。用Simulink仿真卷积编码,并用Viterbi译码的方法进行解码,在加性白高斯噪声信道中,画出比特信噪比与误码率的关系曲线
1
该代码为卷积码的viterbi译码算法的c语言源代码,能够实现约束长度为3~9的卷积码的维特比算法的译码
2021-05-04 23:34:35 3.6MB 卷积码 viterbi c语言
1
卷积编码和viterbi译码,调制方式为bpsk的matlab程序
2020-01-03 11:17:46 5KB 卷积编码 viterbi译码 bpsk
1
编码: function output=cnv_encd(G,k0,input) % cnv_encd(G,k0,input),k0是每一时钟周期输入编码器的bit数, % G是决定输入序列的生成矩阵,它有n0行,L*k0列。n0是输出bit数, % 参数n0和L由生成矩阵G导出,L是约束长度。L之所以叫约束长度 % 是因为编码器在每一时刻里输出序列不但与当前输入序列有关, % 而且还与编码器的状态有关,这个状态是由编码器的前(L-1)k0。 % 个输入决定的,通常卷积码表示为(n0,k0,m),m=(L-1)*k0是编码 % 器中的编码存贮个数,也就是分为L-1段,每段k0个 % 有些人将m=L*k0定义为约束长度,有的人定义为m=(L-1)*k0 % 查看是否需要补0,输入input必须是k0的整数倍 译码: function decoder_output=viterbi_decoder(G,k,channel_output)
2019-12-21 22:13:19 4KB 卷积编码译码 matlab viterbi译码
1
自己根据课本编写的一些简单卷积码的仿真代码,运行速度可能会比较慢,望高手给予指点与改进。
2019-12-21 21:59:25 11KB 卷积码 Viterbi译码 MAP译码
1
本文提供Verilog的viterbi译码代码,里面包括top顶层模块和testbench测试模块,导入vivado中即可仿真使用。要求使用者熟悉Verilog语言以及viterbi译码原理。具体其他原理资料可以自己了解。
2019-12-21 21:43:52 40KB 卷积编码译码 Verilog viterbi译码
1
这个程序详细解释了VITERBI程序的流程和结果,对GSM\CDMA信号卷积译码提供参考
2019-12-21 21:35:14 3KB viterbi
1
本文档对于所编写的viterbi算法进行了详细的讲解以及描述,所附录的代码在win7环境,vs2008平台均测试通过,适合于涉及信道编码或者viterbi译码的人员使用
2019-12-21 20:20:19 60KB c++ viterbi trellis isi
1
用c语言实现(2,1,7)卷积码编码,网格图 维特比解码
2019-12-21 19:58:17 2KB 卷积码 维特比译码算法
1