按键消抖程序: 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
2021-12-29 20:28:43 97KB Verilog CPLD FPGA VHDL
1
ASK调制与解调VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制
2021-12-27 11:18:04 162B ASK
1
出租车计价器VHDL程序与MODELSIM仿真!希望对大家的学习有帮助!
2021-12-22 18:45:18 138KB 出租车计价器VHDL程序与仿真
1
12进制计数器的VHDL程序设计
2021-12-17 09:10:17 159KB 12进制 计数器 VHDL程序设计
1
定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
2021-12-14 15:02:35 136KB 8路数字 抢答器 VHDL程序 课程设计
1
UART串口收发实验程序: CPLD实时监控RS232_RX信号是否有数据,若接收到数据,则把接收到的数据通过RS232_TX发送回给对方。PC机使用一个串口调试助手进行通信。 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
2021-12-13 16:20:34 299KB Verilog CPLD FPGA VHDL
1
用VHDL语言写的ps2接口键盘通信模块,只能从键盘接受按键的键值
2021-12-12 18:12:26 13KB ps2,vhdl
1
用VHDL检测扫描PS2键盘的程序,读取键值,并用一个数码管显示出来
2021-12-12 18:09:17 2KB PS2键盘
1
CY74FCT16841T锁存器的VHDL程序设计.pdf
2021-12-05 17:04:41 1.98MB 程序设计 程序处理 数据处理 参考文献
带进位的8位全加器的 vhd程序 这是源代码 验证无误
2021-11-26 19:32:00 423B 进位 8位 加法器 add_8
1