精品:Protel99 层次原理图_两个很典型的例子(是DDB文件),送给大家....... .
2021-05-19 21:34:31 37KB Protel99 层次原理图 PCB SCH
1
Protel99SE是应用于Windows9X/2000/NT操作系统下的EDA设计软件,采用设计库管理模式,可以进行联网设计,具有很强的数据交换能力和开放性及3D模拟功能,是一个32位的设计软件,可以完成电路原理图设计,印制电路板设计和可编程逻辑器件设计等工作,可以设计32个信号层,16个电源--地层和16个机加工层。 protel99se软件功能特点: 1.多图纸设计 一个原理图设计有多种组织图纸方案的方法,可以由单一图纸组成或由多张关联的图纸组成,不必考虑图纸号,protel99se将每一个设计当作一个独立的方案,设计可以包括模块化元件,这些模块化元件可以建立在独立的图纸上,然后与主图连接。作为独立的维护模块允许几个工程师同时在同一方案中工作,模块也可被不同的方案重复使用。 2.原理图连线设计 确定起始点和终止点,Protel99se就会自动地在原理图上连线,从菜单上选择“Place/Wire”后,按空格键切换连线方式,自动连线.任意角度.45连线.90连线,使得设计者在设计时更加轻松自如。 3.检查原理图电性能可靠性 打开LCD Controller.ddb 设计数据库,点取LCD Controller 文件夹下的LCDController.prj原理图设计窗口,Protel99se可以帮助我们进行电气规则检查。 4.同步设计 在Protel99软件中使得原理图与PCB同步是容易的,Protel99se包含一个强大的设计同步工具,使得非常容易地在原理图和PCB之间转移设计信息。 5.建立材料清单 打开“4Port Serial Interface.ddb”设计数据库,找到“4Port Serial Interface”文件夹下面的“4Port Serial Interface.prj”文件设计窗口,选择“Reports”中的“Bill Of Material”菜单,按照导向器所给选项选择,完成选择,一个“Excel”风格的材料清单将被制成。 6.原理图仿真 Protel99se软件还提供了大量的仿真用元件,每个都链接到标准的SPICE模型。5800个仿真用元件分别在Sim.Ddb数据库的28个库中。 在Protel99se中执行仿真,只要简单地从仿真用元件库中放置所需的元件,连接好原理图,加上激励源,单击仿真。 7.布线设计 Protel 99se有三中布线方式:忽略障碍布线(Ignore obstacle),避免障碍布线(Avoid obstacle),推挤布线(Push obstacle)。我们可以根据需要选用不同的布线方式,在“Tools”工具菜单下选择“Preferences”优选项中选择不同的布线方式。也可以使用“SHIFT+R”快捷键在三种方式之间切换。 8.信号完整性分析 Protel99se包含一个高级的信号完整性仿真器,它能分析PCB设计和检查设计参数的功能,测试过冲.下冲.阻抗和信号斜率要求。如果PCB板任何一个设计要求(设计规则指定的)有问题,可以从PCB运行一个反射或串扰分析,以确切地查看其情况。
2021-04-22 15:04:11 6.04MB 电路方案
1
RTL8208B_BCM5421S千兆网cyclone2 FPGA主控板protel99设计硬件原理图PCB+BOM+FPGA Verilog源码+文档说明,4层板设计,包括完整的原理图+PCB+生产BOM文件,CYCLONE2 FPGA设计逻辑源码文件 2、 设计概述 本板作为千兆机内帧的接收板,主要功能是接收千兆机内帧控制器输入的显示数据,经过SDRAM转存后再通过十六个百兆口输出。同时要能接收箱体扫描板输出数据。其中收发关系由本板百兆芯片实现AUTOCROSS。 3、 具体设计 3.1 SDRAM.SCH  使用一片86脚,TSOP封装的SDRAM  可以使用64M,128M的SDRAM。使用64M芯片时21脚(A11)NC  DQM[3:0]接地,CKE接3.3V电源 3.2 FPGA.SCH  FPGA芯片使用EP2C8Q208  配置方式JTAG+AS(EPCS4)  25M时钟和RESET接PLL1的输入端  FPGA附加电路:FLASH,EEPROM,温度传感,天光亮度传感  FLASH的CS#接地,WP#接3.3V。EEPROM的WP接地  千兆的CLK125,RC125,MEDIA,BREAK接PLL2IN  千兆PHY和两个百兆PHY的管理接口复用一对I/O。 千兆PHY地址为00001;百兆PHY地址为10***,01***  百兆芯片共用一个RESET引脚 3.3 POWER.SCH  5V电源输入  FPGA内核电压1.25V使用一片1085_ADJ  板上3.3V电压使用一片2831Y  千兆芯片的2.5V使用一片2831Y  两个百兆芯片的1.8V各使用一片2831Y,需要测试是否可以使用一片 每个百兆芯片需要760mA工作电流 3.4 INDRIVE.SCH  千兆芯片使用BCM5421S  留有光接口与电接口,使用MEDIA选择管脚选择接口类型  引脚设置如下: 信号类型 信号名称 引脚 IO 功能描述 连接方式 与FPGA相连的信号 RXD[7:0] 2,3,4,9,10,11,12,15 O 接收数据,与RXC同步 在100BASE-TX和RGMII模式下,只有RXD[3:0]有效 经过排阻和FPGA相连(如图19) TXD[7:0] 104,103,102,101,100,99,98,97 I 发送数据,与GTXCLK同步 在100BASE-TX和RGMII模式下,只有TXD[3:0]有效 RX_DV 1 O 高电平指示正在接收数据 TX_EN 106 I TXD[7:0]传输使能 GTXCLK 107 I GMII传输时钟,MAC提供的125M时钟,用于同步发数据 RX_ER 113 O RX_DV高,RX_ER高指示从双绞线收的数据有错 INTR#/ ENDET 76 I 中断信号 当检测到ENERGY置高1.3ms 当无ENERGY 1.3s 置低 与FPGA的CLKIN相连 MDC 20 串行数据MDIO的同步时钟,可以达到12.5M 与FPGA相连,与百兆芯片复用 MDIO 21 用于配置MII寄存器的串行数据 与RJ45相连的信号 TRD[0]+- 47,48 IO 网线的收发差分对 与RJ45相连 TRD[1]+- 50,49 IO TRD[2]+- 56,57 IO TRD[3]+- 59,58 IO 与光头相连的信号 SGIN+- 115,116 I SerDes/SGMII差分数据输入 与光头相连 SGOUT+- 118,119 O SerDes/SGMII差分数据输出 指 示 灯 信 号 B_TX 70 O 传输数据指示信号 B_RC 71 O 接收数据指示信号 B_LINK2 72 O 传输速度指示信号 00表示1000BASE-T LINK 高电平使能SERDES模式 B_LINK1 73 O B_FDX 74 I/O pd 高电平使能SGMII模式 全双工指示信号 B_SLAVE 75 I/O pu A-N使能 Master/Slave指示信号 B_QUALITY 85 O 铜线连接质量指示信号 RGMII模式下设置RXC Timing 时 钟 信 号 XTALI 124 I 5421的外接25M参考时钟 接25M晶体 XTALO 125 O RXC 112 O 从输入的模拟信号中恢复的125M时钟,用于同步RXD[7:0] 接FPGA的CLKIN CLK125 18 O MAC参考时钟,由XTALI倍频产生的125M时钟信号输出 接FPGA的CLKIN 接成1或者0的控制信号 PHY[4:0] 63,
已分类protel99封装库 PCB库元器件库包括各类芯片接插件阻容二极管三极管MOS继电器等80多个类型合集,DDB工程文件,包括电阻电容电感保险丝二极管三极管继电器插口接口器件SOP SOIC QFN TQFP SOJ SOL SO BGA 等各类常用芯片封装,各类开关,变压器,MOS管,晶振等,85个分类封装库,可以直接用于你的项目设计,也可以做为你的设计参考。
本人自己画的预付费电能表的电路,protell99se和AD6都可以打开,基本上可以拿去布板生产了,有个别细节需要修改下
1
EP2C8Q208_RTL8208B_BCM5421S千兆网protel99设计硬件原理图+PCB文件+FPGA Verilog源码,硬件4层板设计,大小为200x150mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下:Library Component Count : 53 Name Description ---------------------------------------------------------------------------------------------------- 1K10P144 1K10P144 74ALVC164245DL 1K10P144 LM2831 1K10P144 SII1178 TX 1K10P144 4 HEADER HEADER 4 5208 8 HEADER HEADER 8 AT24C01A/02 AT45DB041B-S U? BCM5421S GBIT-CHIP CAP CAP-VD CON2 CON4 Connector CON6 Connector CON64 Connector DIODE Diode DIODE SCHOTTKY Schottky Diode DIODE SCHOTTKY2 Schottky Diode DS18B20 Q? ELECTRO1 ELECTROS-VD EP2C8Q208 EPCS4 FPGA_P_AS HEADER 2 HEADER 5X2 HEADER 6 HEADER 7X2 HEADER 8X2 HY57V653220 INDUCTOR1 INDUCTORIRON-VD JTAG LED LED-VD LT1086MC MAGNETIC MAGNETIC40 NPN NPN Transistor RES2 RES3-VD RES4 RES4-VD RESPACK4B-VD RTL8208B 配套的cyclone2 FPGA Verilog源码文件(非工程文件)如下: alt_pll.v clk_div_80_125.v clk_test.v data_test.v init_bcm5421.v init_set.v Led_Ctrl_SV1.v mii_man_cnt.v pll_mega.bsf pll_mega.v query_link_state.v rtl8208b_smii_r.v rtl8208b_smii_t.v rx_t_2.v sdram_addr_test.v sdram_data_test.v sdram_init.v sdram_test_top.v tx_t_1.v
用于Protel99的PCB和SCH封装库,无144脚
2021-03-25 12:54:07 15KB STM32
1
1000W逆变器PROTEL99SE设计硬件原理图+PCB工程文件,Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。
最新正点原子FPGA开发板及外围电路模块protel99原理图库PCB封装库+AD集成库, 3.5TFTLCD封装库.IntLib ATK-4.3' TFTLCD电容触摸屏模块_V1.2.IntLib ATK-4342 4.3寸RGB屏模块封装库.IntLib ATK-7016&7084 7寸RGB屏模块封装库.IntLib ATK-NEO-6M-V2.3.IntLib ATK-OV2640摄像头模块.IntLib ATK-OV5640摄像头模块封装库.IntLib ATK-SIM900A GSM模块封装库.IntLib MP3模块封装库.IntLib OLED模块封装库.IntLib PIONEER PIONEER.IntLib Library Component Count : 56 Name Description ---------------------------------------------------------------------------------------------------- 24C256 AMS1117 AP3216C ALS+PS Sensor AR101 单路电容触摸芯片 ATK-HC05 ATK-HC05 BAT BAT54C 双肖特基二极管 BEEP BUTTON C CAP CH340G USB2UART D 1N4148 DB9 DHT11 数字温湿度传感器 EP4CE6F17C8 Cyclone IV Family FPGA, 2V Core, 179 I/O Pins, 2 PLLs, 256-Pin FBGA, Speed Grade 8, Commercial Grade FPC-40 FPC-40P-0.5mm HEAD2 HR911105 HS0038 Header 16 Header, 16-Pin Header 24X2 Header, 24-Pin, Dual row Header 2X2 Header, 2-Pin, Dual row Header 3X2 Header, 3-Pin, Dual row Header 4 Header, 4-Pin Header 9X2 Header, 9-Pin, Dual row IS42S16160B 32MB SDRAM JTAG-10-FPGA KEY_M LED LEDSEG030-6 6位数码管,0.3寸,共阴,41*11*5.8mm L_SOP M25P16 16 Mb (x1) Automotive Serial NOR Flash Memory, 75 MHz, 2.7 to 3.6 V, 8-pin SO8 MAX3232 MAX3485 MIC MP2359 DC DC Step Down IC NRF24L01 PCF8563 时钟芯片 PCF8591 4路AD+1路DA芯片. PHONE_M PNP POW R RTL8201CP ETH PHY SMBJ TVS SN65HVD230D TEST-POINT 测试点 TFT_LCD TPAD ALIENTEK TPAD USB5 VGA WM8978 24bit ADC&DAC XTAL 普通晶振 XTAL_S 有源晶振 sd card