EDA最后一次实验报告内容_用 QuartusII 设计正弦信号发生器_序列检测器的VHDL设计.docEDA最后一次实验报告内容_用 QuartusII 设计正弦信号发生器_序列检测器的VHDL设计.docEDA最后一次实验报告内容_用 QuartusII 设计正弦信号发生器_序列检测器的VHDL设计.doc
2021-06-26 01:06:14 702KB FPGA CPLD EDA VERILOG VHDL
1
一份完整的EDA实验报告——数字时钟设计,含源代码(VHDL语言)。中南大学的同学下载后可以直接使用。
2021-06-22 01:44:31 222KB EDA实验、数字时钟、VHDL
1
8位二进制全加器设计 杭州电子科技大学 EDA实验报告
1
EDA实验报告 (实验七 序列检测器的VHDL设计 、实验八 用 QuartusII 设计正弦信号发生器)
2021-06-07 16:19:08 119KB EDA实验
1
基于VHDL语言的数字钟设计的EDA实验报告 采用的是顶层文件设计理念 共分为5个模块:分频模块 计时模块 选择模块 控制模块 动态扫描模块
2021-05-30 16:39:43 128KB VHDL 数字钟设计 EDA实验报告
1
关于eda数字钟的设计。本报告利用MAXPLUS II软件,结合所学的数字电路的知识设计一个24时多功能数字钟,具有正常时、分、秒计时,能够动态显示,保持、清零、快速校分、快速校时以及整点报时等功能。
2021-05-23 23:07:42 460KB eda 数字钟
1
西电-数字电路-EDA实验报告-.doc
2021-05-20 12:02:30 324KB EDA 西电
EDA实验报告---自动售货机.
2021-05-07 19:01:41 388KB 实验报告
1
循环冗余校验(C循环冗余校验(CRC)模块设计 循环冗余校验(C循环冗余校验(CRC)模块设计 杭电 循环冗余校验(C循环冗余校验(CRC)模块设计 杭电 循环冗余校验(C循环冗余校验(CRC)模块设计 杭电
1
eda实验报告,质量很高,代码用vhdl编写,其中涉及到了计数器和分频器的编写,以及八位除法器、交通灯、三层电梯的编写,供相关专业同学们参考学习
2021-02-16 09:01:19 2.84MB EDA VHDL 实验报告