ATK-RGBLED模块技术资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-RGB LED模块原理图 2,程序源码 3,模块使用说明 4,芯片数据手册 ATK-RGB LED模块用户手册_V1.0.pdf PANTONE国际色卡CMYK对应_RGB对照表.pdf
ATK-RGB2VGA模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-RGB2VGA模块原理图 2,模块使用说明 3,程序源码 4,芯片数据手册 5,其他参考资料 6,SD卡根目录文件 ATK-RGB2VGA 模块常见问题汇总.pdf ATK-RGB2VGA 模块用户手册_V1.0.pdf
ATK-1018 10.1' RGB屏模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-10.1' RGBLCD 模块原理图 2,程序源码 3,触摸屏参考资料 4,数据手册 ATK-10'1 RGBLCD模块用户手册_V1.0.pdf
VL53L0X激光测距模块(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-VL53L0X激光传感器模块原理图 2,程序源码 3,模块使用说明 4,VL53L0X参考资料 5,芯片数据手册 ATK-VL53L0X激光测距模块用户手册_V1.0.pdf
OV7725摄像头模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-O7725摄像头模块原理图 2,程序源码 3,OV7725参考资料 4,其他 ALIENTEK摄像头模块使用_AN1704.pdf ATK-OV7725摄像头模块用户手册_V1.0.pdf
PAJ7620手势识别模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-PAJ7620手势识别模块原理图 2,程序源码 3,模块使用说明 4,PAJ7620参考资料 5,芯片数据手册 ATK-PAJ7620手势识别模块用户手册_V1.0.pdf
ATK-LORA-01无线串口模块资料软件源码工程+应用技术文档 1,模块使用说明 2,软件资料 3,程序源码 4,SD卡根目录文件 5,尺寸封装 ATK-LORA-01无线串口模块用户手册_V1.3.pdf ATK-LORA-01模块AT指令集_V1.2.pdf ATK-LORA-01模块固件升级操作说明_V1.2.pdf ATK-LORA-01模块配置软件操作说明_V1.2.pdf
301电容式指纹模块资料软件源码工程+应用技术文档 1,程序源码 2,配套软件 ATK-301电容指纹识别模块使用说明(Mini版)_AN1601A .pdf ATK-301电容指纹识别模块使用说明(战舰V3&精英版)_AN1601B.pdf ATK-301电容指纹识别模块使用说明(探索者F4版)_AN1601C.pdf ATK-301电容指纹识别模块使用说明(阿波罗F4版)_AN1601D.pdf ATK-301电容指纹识别模块常见问题.pdf ATK-301电容指纹识别模块用户手册 .pdf
最新HC05蓝牙串口模块技术资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档), 1,ATK-HC05蓝牙串口模块原理图 2,程序源码 3,配套软件 4,HC05蓝牙模块指令集 ATK-HC05-V11用户手册_V1.03.pdf ATK-HC05蓝牙串口模块使用说明(NANO F1)_AN1802.pdf ATK-HC05蓝牙串口模块使用说明(战舰V2&Mini V3)_AN1408.pdf ATK-HC05蓝牙串口模块使用说明(战舰V3&精英板)_AN1501.pdf ATK-HC05蓝牙串口模块使用说明(探索者F4)_AN1408A.pdf ATK-HC05蓝牙串口模块使用说明(阿波罗F429)_AN1610.pdf ATK-HC05蓝牙串口模块使用说明(阿波罗F767)_AN1610A.pdf ATK-HC05蓝牙模块常见问题汇总_20140708.pdf (HAL库版本,适合NANO STM32F1开发板) 扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合MiniSTM32开发板)扩展实验11 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合NANO STM32F1开发板) 扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合战舰V3和精英STM32开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合探索者STM32F4开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合阿波罗STM32F429开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合MiniSTM32开发板)扩展实验11 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合战舰V3和精英STM32开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合探索者STM32F4开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合阿波罗STM32F429开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar
7' TFTLCD电容触摸屏模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-7' TFTLCD电容触摸屏模块原理图 2,程序源码 ATK-7' TFTLCD 模块使用说(mini V3)_AN1510C.pdf ATK-7' TFTLCD 模块使用说明(战舰V3&精英版)_AN1510A.pdf ATK-7' TFTLCD 模块使用说明(探索者开发板)_AN1510B.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F429)_AN1612.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F767)_AN1612A.pdf ATK-7' TFTLCD 模块用户手册V2.0.pdf (寄存器版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar