EDA工程 hello FPGA library ieee; use ieee.std_logic_1164.all; entity ex7_part2_top is port (sw :in std_logic_vector(17 downto 0); hex0: out std_logic_vector(6 downto 0); hex1: out std_logic_vector(6 downto 0); hex2: out std_logic_vector(6 downto 0); hex3: out std_logic_vector(6 downto 0); hex4: out std_logic_vector(6 downto 0); hex5: out std_logic_vector(6 downto 0); hex6: out std_logic_vector(6 downto 0); hex7: out std_logic_vector(6 downto 0); ledr:out std_logic_vector(17 downto 0); clock_50:in std_logic); end;
2021-06-30 17:39:15 917B EDA
1
多模式设置,自动循环操作方便快捷
2021-06-26 19:00:41 6KB 鼠标连点器
1
输入链接自动循环下载图片,重复跳过
2021-06-24 13:04:28 106KB c# 下载
1
实现RecyclerView滚动控件无限自动循环竖直滚动示例,有详细的注释
2021-06-07 14:31:05 16.42MB android RecyclerView 自动循环滚动
1
mach3 G代码 自动循环加工-可自动递增递减 简单例子 实现重复的加工动作,简化编码,减少码字工作量
2019-12-21 21:05:47 517B G代码 mach3 循环加工
1
广告轮播,触碰、释放循环,左右自动循环等等功能 。viewpager加上触摸效果等。
2019-12-21 20:37:01 7.25MB 广告轮播
1
定义Gallery,层叠中间放大显示,自动循环切换效果,可以作为引导页。
2019-12-21 19:51:56 2.08MB Gallery
1
轮播图手动循环滑动+自动循环滑动
2016-03-21 00:00:00 7.74MB 轮播图
1