用Verilog语言设计的电子琴。用ALTERA公司的产品,Quartus软件进行综合后的整个工程
2022-05-27 08:48:45 211KB Verilog
1
3.音调发生器(tone)模块的设计 音调发生器的作用是产生获得音阶的分频预置值。 当8位发声控制输入index中的某一位为高电平时,则对应某一音阶的数值将在端口tone输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频器来对4MHz的脉冲进行分频,由此可得到每个音阶对应的频率。 例如输入index=“00000010”,即对应的按键是2,产生的分频系数便是6809;code输出对应该音阶简谱的显示数码;high输出指示音阶高8度,低电平有效。
2022-05-27 08:27:59 135KB FPGA 电子琴设计
1
通过汇编语言编程使小键盘的数字键1,2,3,4,5,6,7作为电子琴按键,输入对应的七个音阶,通过实验箱的喇叭发出声音;若键盘输入“Esc”则返回DOS,结束程序。 使用元件:D/A转换器0832,计时器8253,并行控制器8255A。
2022-05-23 21:31:15 1KB 汇编语言 接口技术 8255A 电子琴
1
本课题是一套完整的全设计方案,有助于电子信息工程专业的毕业设计与需要企业的收集学习。
2022-05-09 18:52:35 574KB 单片机电子琴研究与设计
1
FPGA简易电子琴设计
2022-05-04 19:04:58 1.1MB fpga开发 文档资料
单片机电子琴设计报告.docx单片机电子琴设计报告.docx单片机电子琴设计报告.docx单片机电子琴设计报告.docx单片机电子琴设计报告.docx单片机电子琴设计报告.docx
2022-04-24 09:05:51 402KB 单片机 嵌入式硬件
1.设计一个八音电子琴 2.由键盘输入控制音响,同时可自动演奏乐曲。 3.用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。
2022-04-23 11:22:13 135KB FPGA 电子琴设计
1
1.顶层模块的设计 顶层模块由乐曲自动演奏(automusic),音调发生器(tone)和数控分频器(speaker)三个模块组成。 其中乐曲演奏部分又包括了键盘编码。 设置一个自动演奏/键盘输入切换auto,即当auto=“0”时,选择自动演奏音乐存储器里面的乐曲,auto=“1”时,选择键盘输入的信号。
2022-03-11 17:25:26 135KB FPGA 电子琴设计
1
电子琴 1. 实验任务 (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。
2022-02-26 22:22:16 49KB 电子琴 单片机
1
数字电子技术实验-电子琴设计.pdf
2022-01-10 14:05:48 641KB Verilog
1