题 目: 数字频率计设计 初始条件: 555.74LS123等元器件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 用中小规模集成电路设计一台简易的数字频率计,频率显示为四位,显示量程为四挡 , 用数码管显示。 1HZ—9.999KHZ ,闸门时间为 1S ; 10HZ—99.99KHZ, 闸门时间为 0.1S ; 100HZ—999.9KHZ, 闸门时间为 10MS ; 1KHZ—9999KHZ, 闸门时间为 1MS ;
2021-11-29 01:36:09 397KB 目:
1
数字频率计设计实验报告,内容详尽,经过multisim实验验证,可靠性好,显示万位以内的频率
2021-11-17 18:52:42 223KB 数字频率计
1
本文提出了一种基于VHDL语言的数字频率计的设计方案,该方案通过采用自顶向下的设计方法,用VHDL语言对状态机、计数器、十分频、同步整形电路等进行编程,用QuartusⅡ对状态机、计数器、同步整形电路、分频电路进行仿真,在FPGA上采用高频测频、低频测周、中间十分频转换的方法,设计出体积较小,性能更可靠的数字频率计。经过电路仿真和硬件测试验证了方案的可行性。
2021-10-31 22:19:00 526KB VHDL语言 数字频率计 设计 文章
1
基于单片机C语言的数字频率计设计.pdf
2021-10-25 21:00:10 316KB 计算机
基于FPGA的8位十进制数字频率计设计.pdf
2021-09-23 11:13:27 341KB 频率计.pdf
1
采用verilog语言编写,方波输入,频率范围为1-200KHZ
2021-09-17 10:32:58 5.29MB verilog 数字频率计设计
1
简易数字频率计设计报告--数字电路课程设计报告
2021-09-14 12:40:05 308KB 简易数字频率计设计报告
1
该频率计可以测量矩形波频率,测量结果最多有8位十进制数,但开发板上只有4个数码管,因此,可以用一个开关来切换显示。被测信号也由开发板自己产生,具体的办法是对开发板提高的50MHz的信号进行分频,把信号通过FPGA的一个引脚输出,再送入FPGA上用于输入被测信号的引脚
2021-08-20 14:12:11 1.74MB fpga basys2 数字频率计 Verilog
基于51单片机OLED屏显示的简易数字频率计设计 包含程序Proteus仿真文件
2021-08-09 13:07:53 127KB 51单片机 频率计