出租车计费器的FPGA实现(含VHDL代码)
2021-09-18 10:55:31 781KB 出租车 计费器 fpga 实现
1
数字逻辑课程设计 VHDL编写的出租车计费器,完美运行
1
1、用Proteus设计原理图,要求显示出里程,速度,总价 2、用信号发生器来产生出租车的模拟信号,每个脉冲相当于轮胎转了一圈。 3、出租车轮胎周长按1.83米计算。2公里以内按8元计算,超过2公里每公里按2.6元计算。不考虑其他费用。 4、速度的单位为公里/小时,里程的单位为公里(有两位小数)。当信号发生器的输出为10HZ(每秒转10圈)时,速度应该为65.8公里/小时。
2021-09-17 15:07:00 23KB 51单片机 汇编语言
1
为生产可靠、稳定且灵活性好、开发周期短、效率高、维护简单的出租车计费器,提出了在QuartusII 9.0 软件平台上,基于FPGA的出租车计费器的设计方案。通过VHDL描述出租车计费系统的操作流程及控制方案,实现模拟汽车的启动、停止、计费、暂停、预置等功能,并用LCD1602显示车费、里程、等待时间、行驶单价等信息。该系统配合车速传感器可应用在不同车型的出租车上,并达到预期功能。
1
基于VHDL语言的出租车计费器课程设计的ppt及相关程序
2021-09-09 10:37:00 2.62MB 出租车计费器
1
eda课程设计vhdl语言的简易出租车计费器设计
2021-09-07 15:45:17 104KB EDA课程设计、简易出租车计费器
1
本文介绍了一种采用FPGA芯片设计出租车计费器的方法。介绍了该计费器的主要组成单元—速度模块、计程模块、计时模块及计费模块的设计方法,同时给出了详细的仿真波形,实现了出租车按行驶里程自主收费,并解决了出租车计费低功耗问题,同时提高了计费系统的可靠性、通用性,还能模拟汽车启动、停止、暂停车速等状态。
2021-09-07 15:23:38 387KB FPGA 出租车计费器 VHDL
1
16个VHDL 编程实例源码 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可控脉冲发生器pluse 十一、正负脉宽数控调制信号发生器pluse width 十二、序列检测器string 十三、出租车计费器spend 十四、数字秒表selclk 十五、抢答器 first
本实验要完成的任务就是设计一个简单的出租车计费器,要求是起步价 3 元, 准行1 公里,以后1 元/公里。显示部分的七段码管扫描时钟选择时钟模块的1KHz, 电机模块的跳线选择 GND 端,这样通过旋钮电机模块的电位器,即可达到控制 电机转速的目的。另外用按键模块的 S1 来作为整个系统的复位按钮,每复位一 次,计费器从头开始计费。直流电机用来模拟出租车的车轮子,没转动一圈认为 是行走 1 米,所以每旋转 1000 圈,认为车子前进 1 公里。系统设计是需要检测电 机的转动情况,每转一周,计米计数器增加 1。七段码管显示要求为前 4 个显示 里程,后 3 个显示费用。 实验箱中用到的数字时钟模块、按键开关、直流电机模块、数码管与 FPGA 的接口电路,以及数字时钟源、按键开关、LED、数码管与 FPGA 的管脚连接在以 前的实验中都做了详细说明,这里不在赘述
2021-07-22 20:03:36 594KB 华中师范大学VHDL VHDL 出租车计费器
1
(1) 实现计费功能,按出租车计费标准:起步价为9.00元,并在行驶3km后按1.4元/km计费;车辆停止和暂停时不计费。 (2) 模拟汽车的起动、暂停、停止和换挡等状态。 (3) 设计数码管动态扫描电路,将车费和路程用十进制数显示出来,各有两位小数。
2021-07-05 09:07:50 740KB Verilog
1