数字测量技术的-南京信息工程大学
1
电子信息工程概论论文。电子信息工程概论主要是为了同学们了解电子信息工程
2022-10-21 08:12:15 201KB 电子信息工程
1
本文介绍了低压无功补偿的意义、原理、目的及目前无功补偿装置的发展现状。设计了一种以功率因数为判据,适用于用电设备进行就地补偿的晶闸管投切电容器无功补偿装置。详细分析了控制器的软硬件结构,阐述了该控制器的硬件原理电路图和软件框图。在硬件设计方面,由ATmega16单片机作为主控制器,能够实现自动采样计算、无功自动调节、数据存储等功能。在软件上,采用C语言编程,遵循模块化设计原则,提高了系统的通用性和维护的简易程度。 在实验室对该低压无功补偿装置进行了调试,系统运行稳定可靠并实现了预期的目标。由于此装置能够实现无功功率的快速、准确补偿且成本较低,因此具有较好的实用性以及广阔的应用前景。 关键词:无功补偿 功率因数 ATmega16单片机 晶闸管投切电容器
2022-10-16 20:33:11 724KB 电子信息工程毕业设计论文
1
微机原理与接口技术》期末试卷
2022-10-14 19:03:46 192KB
1
内容简介 本书详尽介绍了目前在电子信息和通信领域被广泛应用的数字信号处理硬件实现的全新解决方案,即基于EDA与SOPC的现代DSP开发技术,以及与之相关的开发工具的使用方法、设计理论和一些典型的设计实例。全书内容包括基于MATLAB/SimuLink的DSP和通信系统模块的设计技术;Quartus II的基本使用方法、详细的设计流程向导、多种优化设计方法、逻辑锁定技术、嵌入式逻辑分析仪SignalTap II的使用方法、Quartus II/DSP Builder及第三方EDA工具Synplify、Leonardo Spectrum和Modelsim的优化设计接口技术。全书深入、系统地介绍了基于MATLAB环境下DSP Builder/SignalCompiler对现代DSP系统开发的基本方法,以及基于这些工具的DSP IP核的使用方法和使用规则。 本书内容新颖、实用,为DSP领域的读者展示了有别于传统TI DSP处理器的、全新的DSP系统实现技术,为软件无线电领域的读者提供了一项不可或缺的系统设计解决方案,也为电子信息领域的读者向EDA技术的更高层次迈进提供了有用的工具。 本书可作为电子类各专业高年级本科生、研究生的教材,或作为相关领域工程技术人员的参考书,也可作为现代电子系统设计、电子设计竞赛、DSP应用系统以及通信电子系统高层次开发的参考书,或用作SOC/SOPC技术实验教材。 ★ 本书配有电子教案,需要者可与出版社联系,免费索取。 目录 第1章 概述 1.1 DSP实现方案及设计流程 1.1.1 常用DSP应用器件及其性能特点 1.1.2 DSP处理器结构与性能的发展 1.1.3 FPGA的结构与性能的发展 1.1.4 基于DSP处理器的DSP设计流程 1.1.5 基于FPGA的DSP设计流程 1.2 现代DSP设计流程概述 1.3 两类DSP解决方案的比较 习题 第2章 Quartus II设计向导 2.1 频率计的VHDL设计 2.1.1 创建工程和编辑设计文件 2.1.2 创建工程 2.1.3 编译前设置 2.1.4 编译及了解编译结果 2.1.5 仿真 2.1.6 引脚锁定和下载 2.1.7 Quartus II在Windows 2000上的安装设置 2.2 使用宏功能块设计频率计 2.3 嵌入式系统块ESB的应用I 2.3.1 应用普通方法设计正弦信号发生器 2.3.2 应用ESB优化正弦信号发生器的设计 2.4 嵌入式系统块ESB的应用II 2.4.1 定制ROM数据文件 2.4.2 定制ROM 2.4.3 编译和结果观察 2.5 时序驱动式优化编译方法 2.5.1 需求频率值设置 2.5.2 优化时钟时序设置 2.5.3 编译 2.6 频率计结构原理 2.7 Quartus II优化特性 习题 第3章 DSP Builder设计入门 3.1 DSP Builder及其设计流程 3.2 DSP Builder设计向导 3.2.1 建立一个新的模型(Model) 3.2.2 Simulink模型仿真 3.2.3 SignalCompiler的使用 3.2.4 使用ModelSim验证生成的VHDL代码 3.2.5 在Quartus II中指定器件管脚、进行编译、下载 3.2.6 硬件测试 3.3 调幅电路模型设计示例 3.3.1 建立调幅电路模型 3.3.2 模型仿真和实现 3.3.3 在MATLAB/Simulink中使用MegaCore核 3.4 使用SignalTap II嵌入式逻辑分析仪 3.4.1 安装SignalTap II 3.4.2 设置触发条件 3.4.3 设置总线的数据格式 3.4.4 启动SignalTap II分析操作 3.4.5 信号节点的资源利用情况 3.4.6 一般触发条件 3.4.7 Node模块 习题 第4章 DSP Builder设计进阶 4.1 层次化设计 4.1.1 DSP Builder的子系统 4.1.2 在DSP Builder中使用外部的VHDL代码 4.2 用ModelSim进行RTL级VHDL仿真 4.3 使用Synplify进行综合 4.3.1 Synplify与DSP Builder的接口(自动流程) 4.3.2 Synplify和DSP Builder的接口(手动流程) 4.3.3 Synplify与Quartus II的接口 4.4 使用LeonardoSpectrum进行综合 4.4.1 LeonardoSpectrum与DSP Builder的接口(自动流程) 4.4.2 LeonardoSpectrum和DSP Builder的接口(手动流程) 4.4.3 LeonardoSpectrum与Quartus II的接口 4.5 Quartus II与DSP Builder的接口 4.5.1 使用Quartus II进行综合、适配(手动流程) 4.5.2 使用Quartus II进行时序仿真 4.5.3 在Quartus II中建立元件(Symbol) 习题 第5章 LogicLock优化技术 5.1 LogicLock技术的基本内容 5.1.1 LogicLock技术解决系统设计优化 5.1.2 LogicLock的基本内容 5.1.3 锁定区域的基本方式 5.1.4 层次化逻辑锁定区域 5.1.5 LogicLock技术的不同应用流程 5.1.6 系统性能强化策略 5.1.7 锁定区域的移植与再利用 5.2 未用LogicLock的数字滤波器设计 5.2.1 数字滤波器结构及其VHDL描述 5.2.2 滤波器设计和结果观察 5.3 应用逻辑锁定技术 5.3.1 底层模块设计及其VQM文件保存 5.3.2 确定逻辑锁定区域及其特性 5.3.3 将设计实体移至锁定区域 5.3.4 编译优化锁定后的filter模块 5.4 顶层设计优化 5.4.1 记录锁定信息 5.4.2 逻辑锁定信息的输出 5.4.3 逻辑锁定信息的输入 5.4.4 编译和结果观察 习题 第6章 FIR数字滤波器设计 6.1 FIR数字滤波器原理 6.2 使用DSP Builder设计FIR数字滤波器 6.2.1 3阶常系数FIR滤波器的设计 6.2.2 4阶FIR滤波器节的设计 6.2.3 16阶FIR滤波器模型设计 6.2.4 使用MATLAB的滤波器设计工具 6.2.5 16阶FIR滤波器的硬件实现 6.3 使用FIR IP Core设计FIR滤波器 6.3.1 FIR滤波器核与DSP Builder集成 6.3.2 FIR滤波器核的使用 习题 第7章 IIR数字滤波器设计 7.1 IIR滤波器原理 7.2 使用DSP Builder设计IIR滤波器 7.2.1 4阶直接Ⅱ型IIR滤波器设计 7.2.2 4阶级联型IIR滤波器设计 7.3 在Quartus II中使用IIR滤波器IP核 7.3.1 配置Quartus II以便使用IIR滤波器核 7.3.2 使用IIR滤波器核 习题 第8章 FFT设计 8.1 FFT的原理 8.1.1 快速傅立叶变换FFT 8.1.2 快速傅立叶反变换IFFT 8.2 FFT与蝶形运算 8.3 使用DSP Builder设计FFT 8.3.1 8点DIT FFT模型的建立 8.3.2 8点DIT FFT模型的实现 8.4 在DSP Builder中使用FFT IP Core 习题 第9章 DDS设计 9.1 DDS的基本原理 9.2 DDS的模块设计 9.2.1 建立DDS模型 9.2.2 DDS模型的使用 9.3 FSK调制器设计 9.3.1 FSK调制器原理 9.3.2 FSK模型 习题 第10章 编码与译码 10.1 伪随机序列 10.1.1 m序列 10.1.2 m序列发生器模型 10.2 帧同步检出 10.2.1 巴克码 10.2.2 巴克码的检出模型 10.3 RS码 10.3.1 RS码简介 10.3.2 使用IP Core设计RS编码器 10.3.3 使用IP Core设计RS译码器 10.4 Viterbi译码 10.4.1 卷积码的Viterbi译码 10.4.2 用IP Core设计Viterbi译码器 习题 第11章 DSP Builder设计规则 11.1 位宽设计规则 11.2 频率设计规则 11.2.1 单时钟设计规则 11.2.2 多时钟设计 11.2.3 使用PLL的高级特性 11.3 DSP Builder设计的取名规则 11.4 定点数据下标说明 11.5 在SBF中二进制小数点的位置 11.6 GoTo和From模块的支持特性 11.7 MegaCore功能块支持特性 11.8 层次化设计 11.9 黑盒子化 11.10 将DSP Builder设计方式用在外部RTL设计 第12章 AltLab库 12.1 SignalCompiler模块 12.1.1 综合域编译流程 12.1.2 数据位宽的传递 12.1.3 Tapped Delay Line 12.1.4 时钟设置 12.1.5 DSP Builder报告文件 12.2 Subsystem Builder模块 第13章 算术库 13.1 比较器模块 13.2 计数器模块 13.3 差分模块 13.4 除法模块 13.5 增益模块 13.6 递增递减模块 13.7 乘法累加模块 13.8 乘加模块 13.9 并行加减法器模块 13.10 乘积模块 13.11 其它算术模块 13.11.1 SOP TAP模块 13.11.2 流水线加法器模块 13.11.3 积分模块 第14章 其它DSP设计库 14.1 总线控制库 14.1.1 AltBus 模块 14.1.2 输入/输出常数模块 14.1.3 小数点确定模块 14.1.4 BusBuild模块 14.1.5 Bus Concatenation模块 14.1.6 Bus Conversion模块 14.1.7 Extract Bit模块 14.2 复数信号库 14.2.1 Butterfly 算子模块 14.2.2 复数加减模块 14.2.3 复数乘积模块 14.2.4 复数共轭模块 14.2.5 复数与Real-Imag间的连接模块 14.2.6 复数多路选择器模块 14.2.7 复数延迟模块 14.2.8 复数常数模块 14.3 Gates库 14.3.1 Case语句模块 14.3.2 IF语句模块 14.3.3 逻辑位操作符模块 14.3.4 逻辑总线操作符模块 14.3.5 LUT模块 14.3.6 n-to-1多路选择器模块 14.4 状态机函数库 14.4.1 FIFO控制状态机设计示例 14.4.2 状态机设计流程 14.5 Storage库 14.5.1 延迟模块 14.5.2 Down Sampling和Up Sampling模块 14.5.3 双口RAM模块 14.5.4 并行到串行转换模块与串行到并行转换模块 14.5.5 数据排列方式模块 14.5.6 ROM EAB模块 14.5.7 Shift Taps模块 14.5.8 PLL模块 附录 参考文献
2022-09-27 18:30:13 18.74MB DSP
1
本人花了4小时左右整理的,EE专业课名词全收集(部分)
2022-08-22 13:03:51 444KB 电子信息工程 专业课
1
1. 通过给定的雷达目标参数及相应技术指标要求,计算出符合要求的雷达设计参 2. 通过整体实验,对所学的雷达原理、数字信号处理、信号与系统等专业课程进行 3.
2022-08-03 13:01:39 1.9MB matlab
1
目录 第1章最优的FIR滤波器设计 1.1频率取样的FIR滤波器设计 1.1.1约束条件 1.1.2设计误差 1.2最优的FIR滤波器设计 1.2.1一般最优滤波器 1.2.2加权最优滤波器 1.2.3反对称FIR滤波器 1.2.4微分FIR滤波器 1.3IIR与FIR数字滤波器的比较 第2章基于神经网络的案例分析与实现 2.1农作物虫情预测 2.1.1基于神经网络的虫情预测原理 2.1.2BP网络设计 2.2模型参考控制 2.2.1模型参考控制概念 2.2.2模型参考控制实例分析 2.3神经网络控制的应用 2.3.1机器人神经网络数字控制 2.3.2神经网络的跟踪迭代学习控制 第3章通信系统调制与解调分析与实现 3.1载波提取分析 3.1.1幅度键控分析 3.1.2相移键控分析 3.1.3频移键控分析 3.1.4正交幅度调制 3.2调制与解调的Simulink模块 3.2.1DSBAM调制解调 3.2.2SSBAM调制解调 3.2.3DSBSCAM调制解调 3.2.4FM调制解调 3.2.5PM调制解调 第4章BP神经网络算法分析与实现 4.1BP网络模型结构 4.2BP学习规则 4.3BP网络的训练函数 4.4BP网络的实现 4.5BP网络的限制 4.6BP方法的改进 第5章飞机偏航阻尼器性能分析与设计 5.1飞机偏航阻尼器设计 5.2数学模型及MATLAB描述 5.3校正前系统性能分析 5.3.1计算开环特征值 5.3.2求取系统的单位脉冲响应 5.4校正设计 5.4.1根轨迹法设计 5.4.2下洗滤波器设计 5.5校正后系统性能分析 5.5.1观察从方向舵到偏航角速度通道的闭环脉冲响应 5.5.2验证设计的下洗滤波器固定了飞机的螺旋模态问题 第6章感知器网络算法分析与实现 6.1感知器结构 6.2感知器的学习 6.3感知器的局部性 6.4感知器的“异域”问题 6.5感知器的神经网络训练函数 6.6感知器网络的实现 6.7线性分类问题的扩展讨论 6.8线性可分限制的解决方法 第7章FIR滤波器结构分析与设计实现 7.1FIR滤波器的结构 7.1.1直接型结构 7.1.2级联型结构 7.1.3频率抽样型结构 7.1.4快速卷积型结构 7.2线性相位FIR数字滤波器的特性 7.2.1线性相位FIR滤波器幅度特性 7.2.2线性相位FIR滤波器零点特性 7.3基本窗函数法的FIR滤波器设计 7.3.1窗函数的原理 7.3.2矩形窗 7.3.3汉宁窗 7.3.4海明窗 7.3.5布莱克曼窗 7.3.6凯赛窗 第8章图像阈值分割算法分析与应用 8.1灰度阈值分割 8.1.1灰度图像二值化 8.1.2灰度图像多区域阈值分割 8.2直方图阈值分割 8.2.1直方图阈值双峰法 8.2.2动态阈值法 8.3最大熵阈值分割 8.4分水岭法 第9章状态方程求解分析与设计实现 9.1系统数学模型 9.2系统的状态变量分析 9.2.1状态方程与系统函数之间的转换 9.2.2状态方程的变换域符号求解分析 9.2.3状态方程的时域符号求解分析 9.2.4系统方程的数值求解分析 第10章奈奎斯特稳定性判据及其应用 10.1频域分析基本概念 10.1.1频率特性 10.1.2频率特性曲线绘制的方法 10.1.3奈奎斯特稳定判据 10.1.4对数频率稳定判据 10.1.5开环频域性能指标 10.2Bode图 10.3Nyquist图 10.4系统稳定性的判定 10.4.1用Bode图法判断系统稳定性 10.4.2用Nyquist曲线法判断系统稳定性 第11章基于频域滤波的图像分析与实现 11.1有限冲激响应滤波 11.1.1频率变换法 11.1.2频率抽样法 11.1.3窗函数法 11.1.4频率响应矩阵 11.2低通滤波 11.2.1理想低通滤波器 11.2.2巴特沃斯低通滤波器 11.2.3高斯低通滤波器 11.3高通滤波 11.3.1理想高通滤波器 11.3.2巴特沃斯高通滤波器 11.3.3高斯高通滤波器 11.4高斯带阻滤波 11.4.1理想带阻滤波器 11.4.2巴特沃斯带阻滤波器 11.4.3高斯带阻滤波器 11.5同态滤波 第12章控制系统数学模型分析与实现 12.1连续系统 12.1.1微分方程 12.1.2传递函数 12.1.3状态空间描述 12.2离散系统 12.2.1差分方程 12.2.2离散传递函数(Z传递函数) 12.2.3离散状态空间模型 12.3数学模型的MATLAB相关函数 12.3.1传递模型的函数 12.3.2零极点模型函数 12.3.3状态空间模型函数 第13章径向基网络算法分析与实现 13.1径向基网络模型 13.2径向基网络的学习算法 13.3广义回归神经网络 13.4径向基网络的训练函数 13.5径向基网络的实现 13.5.1径向基函数网络在散布设计中的影响 13.5.2用于模式分类的RBF网络 13.5.3用于网络的逼近 13.6基于RBF网络的非线性滤波 13.7RBF网络与多层感知器的比较 第14章MIMOOFDM通信系统设计与实现 14.1MIMOOFDM通信系统设计 14.2MIMO系统 14.3OFDM技术 14.4MIMOOFDM系统 14.5空间分组编码 14.6STBC的MIMOOFDM系统设计 14.6.1STBC的MIMOOFDM系统模型分析 14.6.2STBC的MIMOOFDM系统性能分析 14.7STBC的MIMOOFDM系统MATLAB实现 第15章图像分割算法的MATLAB实现 15.1区域分割 15.1.1区域生长法 15.1.2分裂合并法 15.2边缘分割 15.2.1梯度算子 15.2.2一阶微分算子 15.2.3二阶微分算子 15.3彩色空间分割 15.3.1基于像元的分割方法 15.3.2聚类算法 第16章雷达信号、语音的模拟与实现 16.1雷达信号的产生 16.1.1脉冲幅度调制 16.1.2线性调频信号 16.1.3相位编码信号 16.1.4相位编码脉内线性调频混合调制信号 16.2噪声和杂波的产生 16.2.1随机热噪声 16.2.2杂波的模拟与实现 16.3小波在语音信号处理中的应用 16.3.1小波在语音信号增加中的应用 16.3.2小波在语音信号压缩中的应用 第17章根轨迹分析与MATLAB函数实现 17.1根轨迹的概述 17.1.1根轨迹法的基本概念 17.1.2根轨迹方程 17.1.3绘制根轨迹的基本条件 17.2二阶系统的根轨迹分析 17.3MATLAB根轨迹相关函数 17.3.1pzmap函数 17.3.2rlocus函数 17.3.3rlocfind函数 17.3.4sgrid函数 17.3.5zgrid函数 17.3.6damp函数 第18章Hopfield网络算法与应用 18.1离散Hopfield网络 18.2连续Hopfield网络 18.3联想记忆 18.4Hopfield网络结构 18.5Hopfield网络模型学习过程 18.6几个重要结论 18.7Hopfield网络的应用 第19章图像统计分析与滤波分析的函数实现 19.1图像的统计特性 19.1.1图像均值 19.1.2图像的标准差 19.1.3图像的相关系数 19.1.4图像的等高线 19.2空间域滤波 19.2.1图像加入噪声 19.2.2中值滤波器 19.2.3自适应滤波器 19.2.4排序滤波 19.2.5锐化滤波 第20章自组织神经网络的设计与应用 20.1常用的几种联想学习规则 20.1.1内星学习规则 20.1.2外星学习规则 20.1.3科荷伦(Kohonen)学习规则 20.1.4阈值学习规则 20.2自组织竞争神经网络的结构 20.3自组织竞争神经网络的设计 20.3.1网络初始化 20.3.2网络学习规则 20.3.3网络训练 20.4自组织竞争网络的应用 第21章控制系统稳定性判定分析与实现 21.1方程特征根判定稳定性 21.2lienardChipard判据判定系统稳定性 21.3根轨迹法判定稳定性 21.4传递函数极点法判断系统稳定性 21.5李亚普诺夫第二法判定系统稳定性 21.6频率法判定系统稳定性 21.6.1Bode图判定系统的稳定性 21.6.2Nyquist曲线判断系统稳定性 第22章线性神经网络算法分析与应用 22.1线性神经网络的模型 22.2WH学习规则 22.3线性网络的训练函数 22.4线性神经网络的构建 22.5网络训练 22.6线性网络的实现 22.7线性网络的局限性 22.8系统辨识 第23章信源编译码MATLAB模块实现 23.1信源编译码 23.1.1信源编码 23.1.2信源译码 23.2MATLABSimulink通信系统仿真实例 23.2.1MATLAB编码实例 23.2.2Simulink信道实例 23.2.3MATLABSimulink信道实例 第24章数字基带调制解调Simulink模块实现 24.1数字幅度调制解调 24.1.1数字幅度调制模块 24.1.2数字幅度解调模块 24.2数字频率调制解调 24.2.1数字频率调制模块 24.2.2数字频率解调模块 24.3数字相位调制解调 24.3.1数字相位调制模块 24.3.2数字相位解调模块 24.4调制与解调的Simulink应用 第25章功率谱估计方法分析与设计实现 25.1功率谱估计 25.1.1经典功率谱估计法 25.1.2改进的直接法估计 25.1.3AR模型功率谱估计 25.1.4部分现代谱估计的非参数方法 25.2MUSIC法功率谱估计 附录AMATLAB R2016a安装说明 参考文献
2022-07-20 21:56:27 85KB MATLAB 电子信息工程 仿真
1
信息工程项目管理:第八章 项目人力资源管理.ppt
2022-07-07 18:14:09 331KB 信息工程项目管理
信息工程项目管理:第二章 项目的组织和过程管理.ppt
2022-07-07 18:14:08 794KB 信息工程项目管理