24秒倒计时篮球计数器设计(protues软件仿真
2019-12-21 19:51:53 54KB 24秒倒计时 protues
1
篮球倒计时 工程说明 本项目包含2个按键和4位数码管显示,要求共同实现一个篮球24秒的倒计时,并具有暂停和重新计数复位的功能。 案例补充说明 与单片机等实现模式相比,FPGA倒计时系统大大简化,整体性能和可靠性得到提高。在篮球24秒倒计时的模块架构设计方面,只需要一级架构下的BCD译码模块、倒计时模块和数码管显示模块,即可实现24秒倒计时功能。
2019-12-21 19:48:11 58KB FPGA倒计时
1
里面有,引言,仿真图,总设计图,有24秒倒计时和12分钟时间计时,还有与原理介绍和各个元件的特点和引脚图,有逻辑图使用74LS192和74LS48做的,有详细的Multisim截图
2019-12-21 19:28:31 1.25MB 数字电子电路课设
1
本资源包括项目演示视频、源代码、说明文档、项目资源。 本场景是一个篮球场,篮球可以做自由落体运动和反弹。 场景涉及灯光,纹理,摄像机的移动。 具体细节可看博客:https://blog.csdn.net/A_ACM/article/details/100569142
2019-12-21 19:26:17 34.62MB OpenGL 游戏场景 篮球场
1
罗杰的电设书上篮球24s定时的verilog程序,下载到DE0板上可以用,全部测试过
2019-12-21 19:23:52 1.7MB 24s定时,verilog程序, FPGA工程
1
单片机课设篮球计分器的文档,包含画仿真图的步骤图片以及基于AT89C51单片机的源代码
2019-12-21 18:57:49 445KB 单片机课设
1
设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A方得分;2位数码管显示B方得分;使用LED灯作为上、下半场的标志;单次加分值包括1分、2分和3分;半场时间到输出3秒的声光提示;可进行比赛暂停、比赛加时等操作;终场时间到输出5秒的声光提示。
2019-12-21 18:51:31 3.18MB EDA课程设计 EDA技术 电子记分牌 EDA分频
1
基于51单片机的篮球计分牌的设计 毕业设计 毕业论文
2019-12-21 18:50:24 65KB 单片机 篮球计分器
1