基于verilog hdl 的波形发生器,modelsim 仿真,硬件描述语言期末大作业,含工程文件及报告
2019-12-21 22:26:34 294KB verilog hdl modelsim 波形
1
内容有VHDL语法总结及相应的实例应用,每个程序我都亲自试过,特别适合初学VHDL的同学们。常用的程序有 设计一个M序列发生器,M序列为“11110101”、 设计一个彩灯控制器,彩灯共有16个,每次顺序点亮相邻的四个彩灯,如此循环执行,循环的方向可以控制。设计一个跑马灯控制器。一共有8个彩灯,编号为LED0~LED7,点亮方式为:先从左往右顺序点亮,然后从右往左,如此循环往复等等。这些都是我在考试前熬夜总结的,很有用。如果配合开发板用的话,那就更好了
2019-12-21 22:23:36 91KB VHDL quartus modelsim
1
ModelSim电子系统分析及仿真是一本学习Modelsim软件的书籍,在FPGA开发中必不可少的一个软件
2019-12-21 22:22:53 46.7MB ModelSim Verilog
1
用ModelSim实现了Altera 乘法器IP核的仿真,有利于初学者学习
2019-12-21 22:19:17 12.15MB Altera 乘法器 IP核 Modelsim
1
1. 本实例用于控制开发板上面的SDRAM完成读写功能; 先向SDRAM里面写数据,然后再将数据读出来做比较,如果不匹配就通过LED变亮显示出来,如果一致,LED就不亮。 2. part1目录是使用Modelsim仿真的工程; 3. part2目录是在开发版上面验证的工程; 2.1. part1_32目录是4m32SDRAM的仿真工程; 2.2. part1_16目录是4m16SDRAM的仿真工程; \model文件夹里面是仿真模型; \rtl文件夹里面是源文件; \sim文件夹里面是仿真工程; \test_bench文件夹里面是测试文件; \wave文件夹里面是仿真波形。 3.1. 工程在\project文件夹里面; 3.2. 源文件和管脚分配在\rtl文件夹里面; 3.3. 下载文件在\download文件夹里面,.mcs为PROM模式下载文件,.bit为JTAG调试下载文件。
2019-12-21 22:18:57 2.07MB FPGA SDRAM 读写 Modelsim仿真
1
FPGA的开发软件quartus17.1自带的Modelsim注册机,破解有详细说明,已验证成功。
2019-12-21 22:16:12 526KB quartus17.1 Modelsim 注册机
1
基于Verilog的ad7606的8通道并行同步采样,20K采样率(可调),modelsim仿真通过,包含仿真结果图
2019-12-21 22:15:35 8.24MB ad7606 Verilog 仿真
1
modelsim-gcc-4.5.0-mingw64 modelsim-gcc-4.5.0-mingw64
2019-12-21 22:11:24 35.09MB modelsim gcc 4.5.0 mingw64
1
Quartus和Modelsim中仿真ROM所需文件和例子
2019-12-21 21:53:47 5.44MB verilog
1
网盘下载,整理很幸苦,从6.0到最新的10.7-SE各个版本 值得收藏 附带破解视频 还有视频资料 以及各版本安装说明
2019-12-21 21:42:17 684B FPGA CPLD NIOS Models
1