VGA图片显示,利用FPGA自带的存储存储图片,并显示在VGA上
2022-10-22 20:15:24 3.95MB FPGA VGA
1
用ALINX黑金版做的一个VGA弹球游戏,KEY13控制左右移动,key2控制开始游戏,全部均配置完成,直接烧录即可使用。 课堂实验作业成品 虽然现在的显示屏大多已经采用DVI和HDMI方案,但其实VGA在另一个地方还有应用,那就是大屏的LCD。目前4.3寸以上的TFT基本都是VGA接口,这样在完成一个FPGA系统设计时,选择一个VGA接口的TFT用来显示便是最简单方便的方案。
2022-09-06 22:05:44 5.03MB FPGA
1
VHDL 实现,PS2键盘的接收部分(主机一般很少向键盘发送数据),带FIFO 的。显示通码断码,八个数码管显示。
2022-09-06 14:00:34 2.2MB VGA显示VHDL
1
FPGA图像采集与显示项目(一)带LOGO的VGA显示模块.doc
2022-07-13 13:07:35 665KB 技术资料
通过FPGA的设计 按键切换1920*1080分辨率屏幕 进行横条 竖条 动图的切换 可以用于课程设计,也可用于改编屏幕显示,
2022-06-01 15:26:31 1.09MB VGA veirlog
1
想着在此基础上通过 VGA显示器显示一张图片, 利用 FPGA 内部 ROM 存储图片数据,然后通过控制读取数据地址将图片数据传给 VGA驱动模块,从而将每个图片数据显示在对应的像素点上。
2022-05-10 09:30:43 5.34MB FPGA VGA rom
1
基于DAC TLC5615 +ADC tlc549芯片+VGA显示的简易数字示波器实验FPGA设计Verilog源码Quartus18.0工程文件,利用AD、DA和VGA三个外设来实现简易示波器,DA外设发送正弦波给AD外设,AD外设解析成数字信号将数据送给VGA外设进行显示。在VGA上可以看到DA外设发送的波形、波形频率和波形峰峰值。 module Oscilloscope_Top ( //时钟和复位端口 CLK_50M,RST_N, //拨码开关端口 SWITCH, //AD外设端口 AD_CS,AD_CLK,AD_DATA, //DA外设端口 DA_CLK,DA_DIN,DA_CS, //VGA外设端口 VGA_HSYNC,VGA_VSYNC,VGA_DATA ); //--------------------------------------------------------------------------- //-- 外部端口声明 //----------------------------------------------------
用FPGA verilog语言写的VGA显示程序,是我做的一个课程设计,在显示器上显示我的学号20082831.当然也可以改的,里面有三个文件,一个是头文件。
2022-03-24 17:33:51 391KB FPGA VGA
1
硬件需要用3根线:PA1--DB15_14,PA7-DB15_2,PA8-DB15_13 VGA显示接口为DB15,我们选择了36针,72 MHz STM32(STM32F103T8U6),其速度足以生成单色视频同步和点信号。我们使用了两个定时器和SPI(这样,帧缓冲区的刷新会自动完成)。最终结果是具有400 x 200点分辨率的相当不错的单色VGA输出。
2022-02-26 15:17:50 315KB STM32 VGA SPI LCD
1
基于EP4CE10的VGA测试文件,利用PLL核对时钟进行倍频到100MHZ,根据VGA时序,显示彩条,分辨率为1440*900.
2022-01-07 22:06:52 3.92MB FPGA
1