发送端: module trans(clk, rst, TxD_start, TxD_data, TxD, TxD_busy ); input clk, rst, TxD_start; input[7:0] TxD_data; // 待发送的数据 output TxD, // 输出端口发送的串口数据 TxD_busy;
2021-12-18 14:29:35 75KB QUII Verilog
1
Delphi2010 Agilent VISA RS232串口通信
2021-12-17 10:52:48 351KB Agilent VISA RS232
1
课程设计比较简单,rs232串口通信,就做了这么一个程序,能够发送字符串,开的是com4口,我写死在程序里了,用的是空件,没有用api。这个比较水了。。。
2021-10-19 11:52:27 3.77MB rs232串口通信 vc++6.0 课程设计
1
RS232串口通信Modbus协议CRC校验
2021-08-25 15:00:36 5.25MB 123
1
本文档详解介绍RS232串口通讯的时序与方法。
2021-08-08 18:00:44 240KB RS232
1
RS232串口调试工具,好用,一直在用
2021-07-26 18:03:35 409KB RS232 串口通信 串口调试
1
FPGA RS232串口通信,一个复位键,一个发送键,可连续发送,接收可以显示在数码管(需自带译码电路,或自己译码)
2021-07-22 16:26:45 485KB FPGA RS232
1
本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状态,按动SW0,CPLD向PC发送“welcome"字符串(串口调试工具设成按ASCII码接受方式);PC可随时向CPLD发送0-F的十六进制数据,CPLD接受后显示在7段数码管上。
2021-07-21 17:09:51 107KB VHDL RS232
1
本文针对由FPGA构成的高速数据采集系统数据处理能力弱的问题,提出FPGA与单片机实现数据串行通信的解决方案。在通信过程中完全遵守RS232协议,具有较强的通用性和推广价值。
2021-07-16 09:46:43 177KB FPGA 单片机 RS232 串口通信
1
51单片机RS232-串口通信,测试通过非常好用
2021-07-14 12:48:15 18KB 串口通信
1