High-Level Synthesis蓝皮书,高级语言综合中的经典教材!!!
2019-12-21 22:06:15 11.24MB 高级语言综合 RTL Algorithmic C
1
The first high-level synthesis platform for use across your entire SoC design, Stratus High-Level Synthesis (HLS) delivers up to 10X better productivity than traditional RTL design. Based on more than 14 years of production HLS deployment, the Stratus tool lets you quickly design and verify high-quality RTL implementations from abstract SystemC, C, or C++ models.
2019-12-21 20:16:33 10.88MB HLS
1