根据FSK调制和解调的工作原理,提出了一种基于FPGA芯片的FSK调制解调器。该系统采用键控法设计调制器模块,利用过零检测法实现解调器模块。利用VHDL语言在Altera公司的Cyclone系列的EP1C12Q240C6芯片上软件编程,完成了整个系统相应的时序仿真。硬件实验测试表明:FSK解调信号波形和调制信号波形一致,二者之间存在一定的延时,满足系统的设计要求,整个系统具有较高的可靠性和移植性。
2021-09-13 13:20:30 1.35MB 自然科学 论文
1
数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过Quartus Ⅱ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了2FSK调制解调电路。仿真及实验结果表明采用此设计方案是可行的,并具有速度快、可靠性高及易于大规模集成的优点。
2021-09-09 17:24:41 1.14MB 工程技术 论文
1
2fsk信号的相干解调MATLAB代码。此为一般课程所能用到,通过对2fsk信号的产生进行模拟,以及信号在信道中传播,进过滤波器等产生等。画出图像 这是FSK二进制频移键控的仿真和FPGA实现的代码,用matlab做了连续、非连续fsk的仿真,用quartus进行verilog和VHDL实现,最后用modelsim进行仿真。
2021-07-09 14:04:32 35.86MB 课程设计 matlab VHDL VERilog
fsk调制解调multisim13版本的仿真文件。555多谐振荡器,与非门调制,m序列产生器,锁相环,比较器
2021-07-02 12:28:09 377KB fsk调制解调 锁相环解调
1
利用MATLAB提供的FSK调制、解调、误码率分析函数实现2FSK信号的解调与检测
2021-03-31 09:26:10 723B 2FSK
1
这是FSK二进制频移键控的仿真和FPGA实现的代码,用matlab做了连续、非连续fsk的仿真,用quartus进行verilog和VHDL实现,最后用modelsim进行仿真。
2021-03-20 09:03:19 37.47MB fpga matlab fsk verilog
1
本文对数字调制中的2FSK采用matlab进行了仿真实验,代码中没有加入噪声,采用相干解调的解调方式。 (一)、代码的流程如下: (1)、设置载波频率,码元频率(本文中即比特率)和采样率; (2)、产生2FSK信号; (3)、信号分别经过两个带通滤波器后得到band_passed_sig1和band_passed_sig2; (4)、对band_passed_sig1和band_passed_sig2分别进行相干解调,再分别进行低通滤波得到lower_sig1和lower_sig2; (5)、对lower_sig1和lower_sig2进行抽样判决得到输出信号; (6)、统计无码率; (二)、2FSK进行matlab仿真的疑难点: (1)、相干解调采用的“同频同相的载波”的获取。 由于信号经过带通滤波器之后(本文采用的是FIR线性相位数字滤波器)会出现相移,所以不能直接用调制时候的载波信号与此时的band_passed_sig1信号相乘来相干解调,此时用来相干解调的载波应该与经过滤波器之后出现相移的“载波”信号同频同相,本文代码中直接采用band_passed_sig1.*band_passed_sig1的方式进行相干解调,这点需要读者细心斟酌一下(其实不难理解的)。 (2)、抽样判决的判决时刻选择。 据笔者观察,经过低通滤波器之后得到的信号会出现时移(延时)的情况,建议读者可以先设置10个码元个数,观察一下低通滤波器的输出波形,然后再选择波形峰值时刻作为抽样判决时刻。本文的代码中是采用每一个码元的结束时刻作为抽样判决时刻,这是笔者通过观察低通滤波器的输出波形后得到的,不具有通用性。 时移的原因,笔者觉得是因为FIR数字滤波器的线性相位所导致的,但是怎么个时移法,笔者目前还没有弄明白(数字信号处理学的不够好),还有待探究。
2021-02-19 20:11:12 2KB FSK matlab
1
1、FSK通信系统理论分析 (1)发射机模块:数字信号经过FSK调制后进行发射,利用载波的频率变化来传递数字信息。它利用基带信号离散取值的特点对载波频率进行频移键控。实现起来较容易,抗噪声与抗衰减的性能较好。在中低速数据传输中得到了广泛的应用。 最常见的是用两个频率承载二进制1和0的双频FSK系统。 (2)接收机模块:基带FSK调制信号对载波频率进行键控后,经过信道和加性高斯白噪声后进入接收机。接收机根据接收到的信号进行相干解调,恢复出原始信号,达到通信的目的。 2、系统实验仿真 (1)FSK信号波形产生;(2)FSK信号功率谱;(3)FSK接收信号波形;(4)FSK信号误码率曲线。
2020-01-03 11:40:24 5.23MB matlab 仿真程序 毕业设计 通信
1
基于FPGA的2FSK调制解调,里面有详细的工程说明,对于学习ISE软件和通信原理的知识很有帮助
2019-12-21 21:54:02 396KB FPGA,ISE,FSK,调制解调
1
matlab开发-FSK调制解调技术。这是一个用于FSK调制解调方案的matlab代码。
2019-12-21 21:39:07 2KB 环境和设置
1