BCH编码VHDL程序,状态机描述,编码为(15,11)循环码,生成多项式通过修改寄存器连接易于调整
2019-12-21 22:25:37 118KB BCH Encoder
1
该代码能够实现bch编码功能,很有用处,希望能够给你带来帮助
2019-12-21 21:58:46 5KB bch编码
1
使用verilogHDL 语言实现BCH(31,16,3)的编解码,能隋姐纠正三个级三个以下错误。并且带有仿真激励_tb文件,可以直接运行,并在modsim10.1下仿真成功。
2019-12-21 21:16:57 3.8MB bch 编码 纠正三个错误
1
BCH的编码与解码,利用matlab的simulink实现,通过对加入与不加入BCH的编码模块产生的结果进行对比。
2019-12-21 20:46:30 342KB matlab simulink
1
论文的主要内容是介绍了信道编码中的BCH码(BCH码的定义、编码、译码、解码)。BCH码是一类重要的循环码,能纠正多个错误,通过调用已建立的BPSK+信道编码(取BCH码)在加性高斯白噪声信道下的仿真模型,利用MATLAB编程分析BPSK在加性高斯白噪声信道的误码率性能
2019-12-21 20:09:20 648KB BCH编码
1