verilog 硬件描述语言的一些实例代码,包括简单到复杂实例的一些逻辑电路代码,对于初学FPGA的用verilog编程的工程师很有用的。里面有个人的学习注释,希望可以帮助诸位。
2021-12-22 17:32:39 480KB verilog FPGA
1
verilog hdl程序设计实例详解+书中详细代码,难得的资源。
2021-12-16 14:48:23 43.13MB verilog +实例+详解
1
异步FIFO 伪随机序列 伽罗华域GF(q)乘法器 积分梳状滤波器(CIC)等Verilog实例程序代码合集(9例): CORDIC数字计算机的设计 RS(204,188)译码器的设计 伪随机序列应用设计 伽罗华域GF(q)乘法器设计 常用乘法器设计 常用加法器设计 异步FIFO设计 积分梳状滤波器(CIC)设计 除法器设计 // FIFO顶层模块 module async_fifo (rdata, wfull, rempty, wdata, wreq, wclk, wrst_n, rreq, rclk, rrst_n); parameter DATA_WIDTH = 8; // FIFO数据位宽 parameter ADDR_WIDTH = 4; // FIFO地址位宽 output [DATA_WIDTH-1:0] rdata; output wfull; output rempty; input [DATA_WIDTH-1:0] wdata; input wreq, wclk, wrst_n; input rreq, rclk, rrst_n; wire [ADDR_WIDTH-1:0] wptr, rptr; wire [ADDR_WIDTH-1:0] waddr, raddr; wire aempty_n, afull_n; dp_ram dp_ram(.rdata(rdata), // 双端口RAM .wdata(wdata), .waddr(wptr), .raddr(rptr), .wclken(wreq), .wclk(wclk)); defparam dp_ram.DATA_WIDTH = DATA_WIDTH, dp_ram.ADDR_WIDTH = ADDR_WIDTH; async_cmp async_cmp(.aempty_n(aempty_n), // 异步读/写地址指针比较器 .afull_n(afull_n), .wptr(wptr), .rptr(rptr), .wrst_n(wrst_n)); defparam async_cmp.ADDR_WIDTH = ADDR_WIDTH; rptr_empty rptr_empty(.rempty(rempty), // 读地址指针与"空"标志控制逻辑 .rptr(rptr), .aempty_n(aempty_n), .rreq(rreq), .rclk(rclk), .rrst_n(rrst_n)); defparam rptr_empty.ADDR_WIDTH = ADDR_WIDTH; wptr_full wptr_full(.wfull(wfull), // 写地址指针与"满"标志控制逻辑 .wptr(wptr), .afull_n(afull_n), .wreq(wreq), .wclk(wclk), .wrst_n(wrst_n)); defparam wptr_full.ADDR_WIDTH = ADDR_WIDTH; endmodule
《Verilog HDL数字控制系统设计实例》-冼进-源代码
2021-11-29 12:49:00 11.78MB Verilog 实例
1
大量verilog实例,源码及其测试文件testbench,通过验证。对初学者有极大帮助.
1
大量Verilog实例 有130多个Verilog实例,既有组合电路实例,也有时许电路实例,还包含大量工程实例如数字跑表、数字频率计、交通灯控制器、“梁祝”乐曲演奏电路、自动售饮料机、多功能数字钟、电话计费器、FIR 数字滤波器等实用程序。
2021-10-09 09:15:53 156KB Verilog 实例
1
Verilog实例教程,快速学会verilog!强大的实例让你更轻松的编写verilog!
2021-09-07 13:59:50 184KB verilog 实例
1
红色飓风开发板飓风3代-3s700A/1400)配套的教程资料,本人花2500大洋买的开发板,里面各种资料两个DVD光盘,在这里陆续跟大家共享,这样更加感觉物有所值了!
2021-07-31 09:53:52 596KB 红色飓风;FPGA;Verilog实例;LED
1
适合初学者的verilog实例 【例 3.1】4 位全加器 module adder4(cout,sum,ina,inb,cin); output[3:0] sum; output cout; input[3:0] ina,inb; input cin; assign {cout,sum}=ina+inb+cin; endmodule 【例 3.2】4 位计数器 module count4(out,reset,clk); output[3:0] out; input reset,clk; reg[3:0] out; always @(posedge clk) begin if (reset) out<=0; //同步复位 else out<=out+1; //计数 end endmodule ..................
2021-07-07 14:31:00 178KB verilog 实例
1
verilog实例程序代码 第15章 常用加法器设计 第16章 常用乘法器设计 第17章 伽罗华域GF(q)乘法器设计 第18章 除法器设计 第19章 积分梳状滤波器(CIC)设计 第20章 CORDIC数字计算机的设计
2021-06-04 08:42:47 4MB verilog
1