URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真
2020-01-03 11:20:50 32KB UART,VHDL,仿真
1
2FSK课程设计报告,2FSK调制与解调VHDL程序及仿真,挺好的!
2019-12-21 22:23:20 86KB 2FSK
1
利用硬件描述语言VHDL详细说明了信号发生器的调频 调幅 调占空比
2019-12-21 22:05:41 14KB 多功能波形发生器
1