本工程是用ZYNQ7000系列搭建了一个VDMA系统,并用这个系统对OV5640进行采集,通过HDMI接口投射到显示屏上。这个文件包含了整体工程,通过一个word文档进行了一些基本的介绍,比如介绍了搭建VDMA的连线规则、很详细的SCCB图解时序等。
2021-04-26 08:07:37 74.48MB ZYNQ OV5640 VDMA HDMI
1
Xilinx IP核AXI vdma说明文档。官方下载到的最新版本。
2021-04-22 15:30:15 1.45MB AXI vdma
1
内部教程_基于ZYNQ的DMA与VDMA的应用开发milian教程
2021-03-12 19:30:43 14.71MB zynq xilinx fpga
1
ZYNQ驱动摄像头实时显示必备的IP核,Vivado VDMA IP核官方使用手册。
2021-01-26 10:21:59 1.56MB ZYNQ VDMA
1