nco verilog code, this this a useful for dds,you can gain kinds of wave through this code,and help for you!nco verilog code, this this a useful for dds,you can gain kinds of wave through this code,and help for younco verilog code, this this a useful for dds,you can gain kinds of wave through this code,and help for younco verilog code, this this a useful for dds,you can gain kinds of wave through this code,and help for younco verilog code, this this a useful for dds,you can gain kinds of wave through this code,and help for you
2022-04-28 21:25:35 78KB nco dds
1
Verilog RTL中的(NCO) 可配置的混合实现: 复数输出{Im,Re} 整数(2的补码)实现 Pi / 4查台台 N2-CORDIC阶段 N3-动态旋转CORDIC位移台 参数 WD:数据宽度(每个组件) SCALE:输出信号的定点幅度 WA0:相位累加器宽度 WA1:查询表位 WA2:CORDIC阶段的位 WA3:动态旋转CORDIC的位
2022-04-27 19:06:59 15KB JavaScript
1
介绍了CORDIC算法的原理,给出了为满足某一技术指标而设计的NCO的分析过程,给出了软件仿真波形和硬件实现波形的对比。
2022-04-22 15:20:04 389KB SoPC
1
.net 连接SAP工具类,直接使用,rfc通讯
2022-03-26 10:02:25 1.79MB 连接SAP工具类 rfc通讯
1
SAP NCo Net4.0 X86,C# 连接 SAP RFC
2021-11-23 12:03:48 7.94MB SAP NCo Net4.0 X86
1
摘要: 在研究数控振荡器NCO 工作原理的基础上,通过分析对比几种不同的NCO 设计方法,采用了算法简单、节省资源的基于ROM 查找表的设计方法。针对正交数控振荡器NCO 的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera 公司的FPGA 上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO 功能模块可以通过配置存储表、频率控制字来满足多种应用场合下的NCO 设计需要,可以广泛地应用于各种现代通信系统中。   随着数字通信技术的飞速发展,软件无线电的应用愈加的广泛, 而影响软件无线电性能的关键
1
NCO生成原理接介绍、CORDIC算法原理介绍以及MATLAB与Verilog语言实现
2021-09-28 17:04:04 1.73MB matlab实现nco NCO生成原理 NCOMATLAB NCO
摘要: 在研究数控振荡器NCO 工作原理的基础上,通过分析对比几种不同的NCO 设计方法,采用了算法简单、节省资源的基于ROM 查找表的设计方法。针对正交数控振荡器NCO 的主要部件正余弦存储表、可变模计数器进行了算法设计和电路设计,并在Altera 公司的FPGA 上进行了验证,波形仿真结果表明了电路设计的正确性。采用查找表的方法可以有效提高系统功能的可扩展性和系统的可集成性,使得NCO 功能模块可以通过配置存储表、频率控制字来满足多种应用场合下的NCO 设计需要,可以广泛地应用于各种现代通信系统中。   随着数字通信技术的飞速发展,软件无线电的应用愈加的广泛, 而影响软件无线电性能的关键
1
比较好的论文,值得一看!本文介绍了基于FPGA用状态机和流水线方式实现的CORDIC算法
2021-07-23 20:33:17 173KB cordic,NCO
1
数字混频的Veriloag代码,Quartus工程,含testbench仿真。程序设计系统时钟5MHz,625kHz的输入信号与625kHz的本振信号做混频,根据混频原理会得到1.25MHz的和频信号与0Hz(直流),将直流滤除掉得到1.25MHz的有效信号。
2021-07-09 15:28:17 5.06MB FPGA NCO 数字混频 modelsim
1