基于FPGA的GMSK调制器的设计实现.pdf
2022-06-23 17:35:26 583KB FPGA 硬件技术 硬件开发 参考文献
GMSK调制与解调算法研究111.doc
2022-05-29 14:06:06 709KB 文档资料 算法
超全的有关基于MATLAB的GMSK调制解调技术的仿真实现方法!尽情收藏。
2022-05-18 10:27:04 336KB GMSK MATLAB
1
完成GMSK的正交调制及解调,有完整的维特比译码算法 完成GMSK的正交调制及解调,有完整的维特比译码算法 完成GMSK的正交调制及解调,有完整的维特比译码算法 完成GMSK的正交调制及解调,有完整的维特比译码算法
2022-05-05 18:06:33 4KB GMSK调制解调
1
随机源产生的数值数据的gmsk调制,调制器的输出给出两个信号,仅供演示,第一个是gmsk信号,另一个是高斯频率脉冲波形
2022-05-02 00:47:30 7KB matlab
1
【达摩老生出品,必属精品,亲测校正,质量保证】 资源名:无线通信课程设计的matlab程序_包含了MSK2,GMSK,QAM,QAM16,ilkbOO,kLdUxdh等调制解调方式 资源类型:matlab项目全套源码 源码说明: 全部项目源码都是经过测试校正后百分百成功运行的,如果您下载后不能运行可联系我进行指导或者更换。 适合人群:新手及有一定经验的开发人员
2022-04-06 02:55:53 5KB matlab 开发语言 MSK2 调制解调方式
此 zip 文件包含 GMSK 的相干和非相干“基于 PAM 表示的串行接收器”。 首先研究 PAM 表示思想并解决一个样本问题,以便完全可视化问题。 然后基于这种新形式的 CPM 信号构建串行接收器。 可以在项目网站上找到数学背景和参考资料。 有一个测试文件可以运行相干算法和非相干算法。
2022-03-31 13:53:32 6KB matlab
1
高斯最小移频键控(GMSK)调制方式在码元交替的时刻相位是连续的,它的功率谱特性呈现出带外辐射小的特性。本实验利用数字基带处理的方法实现了GMSK调制器算法的基带硬件实验。实验步骤可分为三部分,首先,对 GMSK调制器的工作原理展开理论分析,推导GMSK相位路径的计算公式;然后,在理论推导的基础上,用Matlab软件编写程序对GMSK的调制结果进行眼图的仿真;最后利用QuartusII软件和可编程器件实现了真实的GMSK
2022-03-08 11:24:20 143KB GMSK Matlab
1
GMSK线性接收机,相干解调,线性接收机(基于Laurent分解)
在Laurent分解的基础上,提出了一种改进的差分检测算法,算法在传统差分检测算法基础上增加Laurent匹配滤波及白化滤波,消除了其它分解子波形对算法性能的影响。仿真结果表明,误码率为 时,文中提出的算法与传统差分检测算法相比有近0.5 dB的信噪比增益,算法复杂度没有明显增加。
1