FPGA Verilog开发实战指南
2021-05-26 17:02:15 380KB FPGAVerilog开发实战
RTL8208B_BCM5421S千兆网cyclone2 FPGA主控板protel99设计硬件原理图PCB+BOM+FPGA Verilog源码+文档说明,4层板设计,包括完整的原理图+PCB+生产BOM文件,CYCLONE2 FPGA设计逻辑源码文件 2、 设计概述 本板作为千兆机内帧的接收板,主要功能是接收千兆机内帧控制器输入的显示数据,经过SDRAM转存后再通过十六个百兆口输出。同时要能接收箱体扫描板输出数据。其中收发关系由本板百兆芯片实现AUTOCROSS。 3、 具体设计 3.1 SDRAM.SCH  使用一片86脚,TSOP封装的SDRAM  可以使用64M,128M的SDRAM。使用64M芯片时21脚(A11)NC  DQM[3:0]接地,CKE接3.3V电源 3.2 FPGA.SCH  FPGA芯片使用EP2C8Q208  配置方式JTAG+AS(EPCS4)  25M时钟和RESET接PLL1的输入端  FPGA附加电路:FLASH,EEPROM,温度传感,天光亮度传感  FLASH的CS#接地,WP#接3.3V。EEPROM的WP接地  千兆的CLK125,RC125,MEDIA,BREAK接PLL2IN  千兆PHY和两个百兆PHY的管理接口复用一对I/O。 千兆PHY地址为00001;百兆PHY地址为10***,01***  百兆芯片共用一个RESET引脚 3.3 POWER.SCH  5V电源输入  FPGA内核电压1.25V使用一片1085_ADJ  板上3.3V电压使用一片2831Y  千兆芯片的2.5V使用一片2831Y  两个百兆芯片的1.8V各使用一片2831Y,需要测试是否可以使用一片 每个百兆芯片需要760mA工作电流 3.4 INDRIVE.SCH  千兆芯片使用BCM5421S  留有光接口与电接口,使用MEDIA选择管脚选择接口类型  引脚设置如下: 信号类型 信号名称 引脚 IO 功能描述 连接方式 与FPGA相连的信号 RXD[7:0] 2,3,4,9,10,11,12,15 O 接收数据,与RXC同步 在100BASE-TX和RGMII模式下,只有RXD[3:0]有效 经过排阻和FPGA相连(如图19) TXD[7:0] 104,103,102,101,100,99,98,97 I 发送数据,与GTXCLK同步 在100BASE-TX和RGMII模式下,只有TXD[3:0]有效 RX_DV 1 O 高电平指示正在接收数据 TX_EN 106 I TXD[7:0]传输使能 GTXCLK 107 I GMII传输时钟,MAC提供的125M时钟,用于同步发数据 RX_ER 113 O RX_DV高,RX_ER高指示从双绞线收的数据有错 INTR#/ ENDET 76 I 中断信号 当检测到ENERGY置高1.3ms 当无ENERGY 1.3s 置低 与FPGA的CLKIN相连 MDC 20 串行数据MDIO的同步时钟,可以达到12.5M 与FPGA相连,与百兆芯片复用 MDIO 21 用于配置MII寄存器的串行数据 与RJ45相连的信号 TRD[0]+- 47,48 IO 网线的收发差分对 与RJ45相连 TRD[1]+- 50,49 IO TRD[2]+- 56,57 IO TRD[3]+- 59,58 IO 与光头相连的信号 SGIN+- 115,116 I SerDes/SGMII差分数据输入 与光头相连 SGOUT+- 118,119 O SerDes/SGMII差分数据输出 指 示 灯 信 号 B_TX 70 O 传输数据指示信号 B_RC 71 O 接收数据指示信号 B_LINK2 72 O 传输速度指示信号 00表示1000BASE-T LINK 高电平使能SERDES模式 B_LINK1 73 O B_FDX 74 I/O pd 高电平使能SGMII模式 全双工指示信号 B_SLAVE 75 I/O pu A-N使能 Master/Slave指示信号 B_QUALITY 85 O 铜线连接质量指示信号 RGMII模式下设置RXC Timing 时 钟 信 号 XTALI 124 I 5421的外接25M参考时钟 接25M晶体 XTALO 125 O RXC 112 O 从输入的模拟信号中恢复的125M时钟,用于同步RXD[7:0] 接FPGA的CLKIN CLK125 18 O MAC参考时钟,由XTALI倍频产生的125M时钟信号输出 接FPGA的CLKIN 接成1或者0的控制信号 PHY[4:0] 63,
EP2C8Q208_RTL8208B_BCM5421S千兆网protel99设计硬件原理图+PCB文件+FPGA Verilog源码,硬件4层板设计,大小为200x150mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下:Library Component Count : 53 Name Description ---------------------------------------------------------------------------------------------------- 1K10P144 1K10P144 74ALVC164245DL 1K10P144 LM2831 1K10P144 SII1178 TX 1K10P144 4 HEADER HEADER 4 5208 8 HEADER HEADER 8 AT24C01A/02 AT45DB041B-S U? BCM5421S GBIT-CHIP CAP CAP-VD CON2 CON4 Connector CON6 Connector CON64 Connector DIODE Diode DIODE SCHOTTKY Schottky Diode DIODE SCHOTTKY2 Schottky Diode DS18B20 Q? ELECTRO1 ELECTROS-VD EP2C8Q208 EPCS4 FPGA_P_AS HEADER 2 HEADER 5X2 HEADER 6 HEADER 7X2 HEADER 8X2 HY57V653220 INDUCTOR1 INDUCTORIRON-VD JTAG LED LED-VD LT1086MC MAGNETIC MAGNETIC40 NPN NPN Transistor RES2 RES3-VD RES4 RES4-VD RESPACK4B-VD RTL8208B 配套的cyclone2 FPGA Verilog源码文件(非工程文件)如下: alt_pll.v clk_div_80_125.v clk_test.v data_test.v init_bcm5421.v init_set.v Led_Ctrl_SV1.v mii_man_cnt.v pll_mega.bsf pll_mega.v query_link_state.v rtl8208b_smii_r.v rtl8208b_smii_t.v rx_t_2.v sdram_addr_test.v sdram_data_test.v sdram_init.v sdram_test_top.v tx_t_1.v
EP2C8Q208_RTL8201CL_(Dual link DVI) Hub主控板Protel99S设计硬件原理图PCB+BOM+FPGA Verilog源码+设计文档说明, 硬件4层板设计,大小为204x113mm,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下: DS18B20 Q? DVI_PLUG ELECTRO1 ELECTROS-VD EP2C8Q208 EPCS4 FPGA_P_AS H1102 HEADER 6 INDUCTOR JTAG LED LT1086MC PACDN006 PNP PNP Transistor RES2 RES3-VD RES4 S25FL SII163B SWPB-VD TFP410 TFP410 XTAL4-VD ZENER2 配套的cyclone2 FPGA Verilog源码文件(非工程文件)如下: clk_lvds.v clk_test.v crmu_03.v dvi_hub_03.v dvi_out_02.v Led_Ctrl_SV1.v pll.v 2.总体设计概述 本板作为DVI Hub控制板,主要功能是接收计算机输入的DVI数据,分三向下行输出 根据上述功能, Dual link DVI Hub电路板可以分为以下几个部分: 1.FPGA部分。主要包括一块FPGA(EP2C8QF256)和一个EPCS4、一个有源晶振20MHhz 2.DVI receiver 部分。主要包括2片panellink receiver(SII163B)including master and slave 3.DVI send 部分. 主要包括3片 (TFP410A) 4.存储器部分:一个flash存储器(S25FL040A)和一个IIC(AT24C18) 5.DVI 传输端口部分。包括4个DVI端子, 6.工控部分:1个温度传感器DS18B20 7.电源部分 : FPGA的bank1和4、百兆芯片和DVI receiver、DVI send用3.3V电压由一片LDO供电(加一开关电源芯片AOZ1010AI以备选)。 FPGA的bank2和3用1.5V电压由一片 LDO供电。 FPGA的核电压用1.25V电压由一片 LDO供电 8.百兆接口部分:主要包括1个百兆芯片(RTL8201CL)、1个RJ45端子和1个百兆线圈H1102。时钟由FPGA提供
EP2C5T144C8+RTL8201CL双网口FPGA主控板ALTIUM设计硬件原理图PCB+FPGA Verilog源码,硬件4层板设计,大小为180x90mm,包括完整无误的原理图和PCB印制板图,已经在项目中使用,可用Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。 核心器件如下: Library Component Count : 40 Name Description ---------------------------------------------------------------------------------------------------- 1K10P144 1K10P144 74ALVC164245DL 1K10P144 LM2831 1K10P144 RTL8201CL 1K10P144 SII1178 TX 1K10P144 4 HEADER HEADER 4 AOZ1010AI AT24C01A/02 AT45DB041B-S U? CAP Capacitor CON2 CON4 Connector CON6 Connector DIODE Diode DS18B20 Q? DS26C31 DS90C032 DSO751S ELECTRO1 EP2C5 EP2C5Q144C8 EPCS4 H1102 HEADER 16X2 HEADER 4X2 HEADER 6 HEADER 8X2 INDUCTOR IS61LV2568L-8T JTAG LED LT1086MC MAGNETIC40 RES2 RES4 RJ45 SCD_PROGRAMMER SW-SPST ZENER2 配套的cyclone FPGA Verilog源码文件(非工程文件)如下: clk_test.v IO_test.v Led_Ctrl_SV1.v pll_mega.v RTL8201_test.v sram_test.v sys_test.v
温湿度传感器DHT11 FPGA verilog驱动代码 Quartus II 13.0工程文件, FPGA读传感器温度数据并通过3位数码管显示。
贪吃蛇小游戏,使用VGA显示游戏画面。 可以重置,暂停。 蛇会变长而且会根据长度变速。 撞到自己会结束游戏,得分达到19分游戏结束。 使用的IO: 7段数码管 VGA显示器(注意显示像素和频率) 4个按钮 3个开关 个人的小作品,欢迎交流。 代码仅供参考,请勿它用。
2019-12-21 20:59:26 1.37MB FPGA Verilog Snake
1
详细的介绍了Verilog HDL 如何实现16*16点阵原理。字符可自行更改。
2019-12-21 20:35:59 508KB Verilog
1
采用verilog HDL编写,高精度频率计,精度小于0.5%,测量范围1Hz~1MHz,采用LCD1602显示,代码带有完整注解。
2019-12-21 20:05:12 2.73MB FPGAverilog频率计LCD1602
1