library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgacore is Port ( clk : in std_logic; reset : in std_logic; md : in std_logic_vector(1 downto 0); hs : out std_logic; vs : out std_logic; r : out std_logic_vector(1 downto 0); g : out std_logic_vector(2 downto 0); b : out std_logic_vector(2 downto 0) ); end vgacore;
2022-05-15 20:01:20 8KB FPGA VGA 驱动 VHDL
1
Linux操作系统的全称是GNU/Linux,它是由GNU工程和Linux内核两个部分共同组成的一个操作系统。该系统中所有组件的源代码都是自由的,可以有效保护学习成果,因而在嵌入式领域得到了广泛的应用。   FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,该器件是作为专用集成电路ASIC (Application Specific Integrated Circuit)领域中的一种半定制电路而出现的,它的出现既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。在通信行业、传输网、医疗仪器、各种电子仪器、安防监控、电力系统、汽车
2022-05-14 14:56:09 192KB 基于Linux平台的FPGA驱动开发
1
用于FPGA写液晶12864显示,是用verlog写的,时序正常,使用正常
2022-04-20 13:40:52 6KB FPGA LCD12864
1
一次做项目时使用到fpga驱动一个ws2812灯带,代码参考网上,自己理解和改了一点,希望能给大家带来帮助
2022-04-06 01:43:15 7.21MB fpga开发 ws2812
1
彻底感受到fpga功能的强大,虽然在处理乘除法的能力上fpga能力赶不上单片机,但是fpga完全可以构造出一个能力很强大的cpu,这就需要我们开动脑筋努力创造了,往后在EDA上还是要多花些功夫才行啊,呵呵!
2021-12-17 09:09:58 116KB FPGA驱动DS18b20 仿真 FPGA 文章
1
FPGA驱动DA5616,实现输入一个数字就输出一个电压
2021-11-29 13:36:56 836B 驱动5616
1
FPGA驱动VGA实现俄罗斯方块游戏代码
2021-11-21 22:29:27 18.53MB VGA
1
博主在这篇文章中所用资料、演示视频以及自己的工程资料都放在这里。文章网址(https://blog.csdn.net/learning1232/category_10614317.html)
2021-11-11 14:24:01 229.25MB FPGA AD9854 AD7606 NiosII
1
通过FPGA实现对TLC5620DA转换芯片的外围驱动,采用verilog语言
2021-10-21 21:05:41 5KB FPGA DA驱动
1
usb blaster驱动(适配win10),操作方法见我的博客
2021-10-20 22:05:09 1.64MB usbblaster FPGA驱动
1