()文中提到的帅某就是本人) 本代码完美产生方波!频率,占空比任意调节! 具体移植过程: 1.下载文档,解压。 2.把文档放在没有中文目录下的文件夹内:如D:\Study\FPGAspriment。 出现中文路径报错! 3.pwm1->par->pwm.qpf(即工程) 4.修改文中period(分屏参数),pulse_width(占空比参数,<=period) 即可修改任意频率,占空比。 5.点击引脚分配,修改引脚。(clk为fpga时钟,out为输出引脚,reset_n为 复位引脚) 6.编译工程,下载验证。 注:本代码完美产生方波信号,附上2张图片,为本设计 -——50mhz输入,1.5k输出(50m/1.5k除不尽,所以我去了近似值33333),75%占空比波形——.完美啊。
2019-12-21 21:47:51 8.51MB FPGA verilog hdl pwm
1
自己用VHDL语言写的波形发生器程序....这个是我期末考试的作业...仅供参考....
2019-12-21 19:41:47 5.4MB FPGA 波形发生器
1
FPGA 波形发生器 ,可以产生正弦波,三角波,方波,锯齿波,modelsim仿真,可以调节波形频率,幅值。
2019-12-21 19:39:09 3.19MB 波形发生器 verilog FPGA
1
基于FPGA波形发生器和扫频信号发生器.rar
1