按键消抖程序: 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
2021-12-29 20:28:43 97KB Verilog CPLD FPGA VHDL
1
UART串口收发实验程序: CPLD实时监控RS232_RX信号是否有数据,若接收到数据,则把接收到的数据通过RS232_TX发送回给对方。PC机使用一个串口调试助手进行通信。 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
2021-12-13 16:20:34 299KB Verilog CPLD FPGA VHDL
1
VHDL格式,网上流传的经典版本,想山寨或者想了解下载流程,都很有用
2021-11-12 20:19:15 7KB JLINK 源码
1
不好意思呀,上次发的资源有问题,在其他机子上好像打不开,这次发的都是Pdf格式的,郑重向大家道歉。还有,大家需要什么书,不限哪一方面的,可以发我邮箱。我邮箱:weiwenhui91@163.com
2021-11-05 16:41:21 38.54MB CPLD FPGA
1
手把手教你学CPLD_FPGA与单片机联合设计
2021-09-19 10:10:29 53.01MB cpld FPGA 单片机
1
Altera官方Parallel Flash Load代码,可用于任何厂家的CPLD/FPGA。
2021-09-01 19:05:08 8KB ParallelFlashL 并行FLASH配置 FPGA
零起点学单片机与CPLD_FPGA 零起点学单片机与CPLD_FPGA
2021-08-30 13:09:38 1.95MB 单片机,FPGA
1
Cyclone IV 详细数据手册,该系列芯片代码开发、硬件设计开发
2021-08-18 13:39:59 55.09MB Cyclone IV CPLD FPGA
1
手把手教你学CPLD、FPGA设计(十四) 时序逻辑电路的设计实验.pdf
2021-07-13 19:04:35 376KB FPGA 硬件技术 硬件开发 参考文献
手把手教你学CPLD、FPGA设计(十三) 触发器的设计实验.pdf
2021-07-13 19:04:01 428KB FPGA 硬件技术 硬件开发 参考文献