CORDIC 向量模式 verilog 实现反正切
2022-11-11 23:05:36 4KB CORDIC 向量模式 verilog
1
CORDIC算法原理利用简单的移位就实现,主要用于三角函数、双曲线、指数、对数的计算。基于个人理解,主要对该算法进行简单推导,同时利用matlab进行仿真,并在fpga中实现。可用于计算sin和cos函数,用于DDS的实现
2022-11-04 16:44:23 8.28MB verilog fpga
1
用veriolg实现16级流水线结构的cordic加速器,该加速器可求出正弦,余弦值。文件中采用了两种测试机制。一种是从外部读取测试向量;另一种是用循环扫描的方式测试。测试结果都已文件的形式输出,并且在控制台上打印。
2022-10-28 14:09:34 74KB cordic 正余弦函数
1
针对传统CORDIC算法流水线结构的迭代次数过多,运算速度不够快,消耗硬件资源较多的缺点,改进了一种基于旋转模式并行运算的CORDIC算法。该算法采用二进制两极编码和微旋转角编码进行低位符号预测和高符号位预测,并且在高符号位预测过程中,对误差进行了校正。在FPGA实现中,采取三段式实现方法,与传统方法相比,有效地减少计算的级数和降低硬件资源的功耗,达到了高速低功耗的要求。
1
使用MATLAB的cordic仿真,使用MATLAB的cordic仿真,使用MATLAB的cordic仿真
2022-10-03 20:21:48 1KB matlab
1
通过CORDIC实现坐标变换,可直接使用
2022-09-22 13:01:04 1KB cordic 坐标变换 实现坐标变换
codic算法,可用于计算任意弧度的正弦值 ,余弦值,具备误差估计等功能,计算准确,方便使用,,误差极小,功能也较为强大,写了好久,亲测可用
独立编写的Cordic算法模块,已经通过验证
2022-09-14 18:00:06 2KB cordic_vhdl cordic
xilinx fpga verilog cordic产生nco,带仿真
2022-09-02 16:04:35 6KB cordicfpgaveri
1
cordic verilog 求sin cos的源程序
2022-08-29 22:07:06 2KB cordic verilog
1