此资源为VIVADO的工程文件,语言使用的是VHDL语言,其中包括FFT IP核的使用,ROM的IP核使用,时钟IP核的使用。实现了8定点的FFT功能。
2021-05-02 10:42:45 123.89MB fft vhdl rom fpga
1
C语言实现FFT(快速傅里叶变换),在比赛的时候做音频信号分析仪用到了,分享一下。
2021-04-15 20:48:18 68KB C语言 FFT
1
压缩包包含:1.C语言FFT函数库FFTW,FFTW 是由麻省理工学院计算机科学实验室超级计算技术组开发的一套离散傅立叶变换(DFT)的计算库,开源、高效和标准 C 语言编写的代码使其得到了非常广泛的应用, Intel 的数学库和 Scilib(类似于 Matlab 的科学计算软件)都使用 FFTW 做 FFT 计算。FFTW 是计算离散 Fourier 变换(DFT)的快速 C 程序的一个完整集合。 2.Windows和Linux下的安装教程和使用教程
2019-12-21 22:22:11 9.57MB C语言FFT 安装教程 使用教程 Win
1
简单易懂的程序,对于处理数据的具体要求可以根据注释修改。
2019-12-21 21:19:30 265KB C语言 FFT
1
已通过验证,在开发板可以进行正常的工作。信号信息处理
2019-12-21 20:44:04 3KB C语言 FFT变换
1
函数简介:此函数是通用的快速傅里叶变换C语言函数,移植性强,以下部分不依 赖硬件。此函数采用联合体的形式表示一个复数,输入为自然顺序的复 数(输入实数是可令复数虚部为0),输出为经过FFT变换的自然顺序的 复数 使用说明:使用此函数只需更改宏定义FFT_N的值即可实现点数的改变,FFT_N的 应该为2的N次方,不满足此条件时应在后面补0 函数调用:FFT(s);
2012-12-25 00:00:00 54KB C语言 FFT
1