基于FPGA的俄罗斯方块游戏开发(ISE工程) Verilog编程;由于资源以及水平有限,仅实现了部分构想功能,且代码风格肯定不能跟大神相比,希望能帮到像我一样的小白们。
2021-04-25 10:03:33 41.55MB verilog 俄罗斯方块 basys2
1
这是我本科期间做硬件课设所写的实验报告,附录有部分代码。Basys2在网络上的开发资源较少,当时废了很大力气才搞定这个课设。选择Basys2作为开发板的学弟学妹们可以参考代码。
2021-03-29 15:41:13 1.76MB fpga Basys2 verilog 智能小车
1
利用Basys2板设计基于FPGA的出租车计价器,用HDL Verilog硬件描述语言实现出租车计价器的设计。所设计的出租车计价器具有时钟显示、里程显示、价格显示等功能,具有等待计时、计价功能,要求能够根据时间判断是夜间价格还是白天价格。画了很久时间完成,真的不容易啊。
1
basys2的简单原理,适用于刚入门的硬件学习者使用,总的还行
2020-01-03 11:40:05 1.02MB FPGA
1
基于FPGA的数字时钟(可调时),切换显示模式,BASYS2
2019-12-21 21:33:03 9KB FPGA 数字时钟 BASYS2
1
基于FPGA的串口收发(Basys2实现,一个负责发送数据,另一个接受数据)
2019-12-21 21:33:03 976KB Basys2 FPGA UART 串口
1
FPGA 交通灯 设计(基于Basys2设计,使用者只需移植到板子上即可使用,三段式状态机编写)
2019-12-21 21:33:03 770KB FPGA 交通灯
1
基于basys2的12进制计数器,已运行通过,用vhdl语言编写,开发软件为ISE
2019-12-21 21:26:14 837KB vhdl 12进制计数器 basys2板卡
1
利用Verilog语言进行数码管的动态显示,SW0为复位键,SW0置1开始程序,初始四个数码管显示为0,每个数码管下有一个按键,BTN0~3。按下数码管对应位置的按键一下,对应数码管显示数字加一,加到九归零
2019-12-21 21:24:51 218KB Basys2
1
BASYS2开发板测试例程,测试板子上的各硬件
2019-12-21 21:12:34 502KB Verilog HDL BASYS2
1