74HC595扩展IO 1602字体显示 硬件平台:stc89c52 编译平台:keil 1602通过74HC595扩展成3线控制的方案,节省大量的IO口,本程序分为两个头文件,74HC595和和1602的底层驱动代码,main.c为主应用程序。 软硬件自己试验通过
2021-11-21 10:58:50 20KB 74hc595 1602 驱动 底层
1
74HC595 数码管
2021-10-22 18:39:42 60KB 74HC595 数码管
1
arduino使用二只74HC595驱动4位共阳数码管。二只74HC595使用串连方式,第一只控制数字,第二只控制4位数码管
2021-09-22 19:52:52 2KB arduino 74HC595 共阳数码管
1
74hc595驱动8位数码管电路图及程序,详见正文
2021-07-27 17:15:08 29KB 74HC595 数码管 电路图 程序
1
74HC595驱动LED流水灯的应用电路和程序,内含c语言和汇编语言。
2021-07-22 15:57:32 231KB 74HC595驱动
1
//使用STM32F103作为MCU,74HC595驱动8段数码管的程序
2021-06-22 23:25:40 25KB MCU 单片机 STM32 文章
1
贴上我的16位数码管,74HC595驱动,89C2051+DS1302的万年历程序。数码管显示方式是共阴的,其实是共阳管子加上NPN的三级管
2021-06-06 11:42:24 46KB 万年历
1
八位数码管是由两片74HC595级联驱动的,在TI的28027上测试通过,由DSP的SCI模块控制显示,可以直接拿来用,在主程序中直接调用LedDeplay()即可,由C语言写成。
2021-05-31 10:26:07 915B TMS28027 八位数码管 74HC595 C源程序
1
基于FPGA的74HC595驱动数码管动态显示--Verilog实现.由FPGA控制74HC595驱动数码管其实主要是抓住74HC595的控制时序,进而输出所需控制显示的内容,由同步状态机实现.
2021-05-04 19:44:48 214KB FPGA 74HC595 驱动数码管 动态显示
1
74HC595是一款具有8位移位寄存器和一个存储器,三态输出功能的驱动芯片。移位寄存器和存储器分别具有独立的时钟信号。数据在SHCP的上升沿输入,在STCP的上升沿进入到存储寄存器中去。如果两个时钟连在一起,则移位寄存器总是比存储寄存器早一个脉冲。移位寄存器有一个串行移位输入(DS),和一个串行输出(Q7’),和一个异步的低电平复位(MR),存储寄存器有一个并行8位的,具备三态的总线输出,当使能OE时(为低电平),存储寄存器的数据输出到总线。
2021-04-25 12:02:41 885KB 74hc595
1