双极性SPWM、单极性SPWM和单极倍频SPWM的仿真
2022-11-29 21:01:15 107KB 电力电子 matlab
1
library IEEE; Library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use UNISIM.vcomponents.all; entity pin_test is port ( rst_manu_h :in std_logic; clk_in :in std_logic; FPGA_CR2 :out std_logic; FPGA_CR1 :out std_logic; FPGA_PR :out std_logic; FPGA_TCK :out std_logic; test_out :out std_logic; FPGA_RST :out std_logic --LED : out std_logic_vector(3 downto 0) ); end pin_test; architecture rtl of pin_test is signal clk_div1 : integer range 0 to 2086; signal clk_div : std_logic_vector(27 downto 0); signal clk_div2 : std_logic_vector(27 downto 0); signal clk0 : std_logic; signal clk180 : std_logic; signal clk_180 : std_logic; signal clk2x : std_logic; signal CLKFX : std_logic; signal clk : std_logic; signal clkdv : std_logic; signal clkin_buf : std_logic; signal clk_sys : std_logic; signal reset : std_logic; signal TX_CLK : std_logic; signal tem1: std_logic; signal tem2 : std_logic; begin clk <= clkin_buf ; reset <= not rst_manu_h; CLK_DIVIDOR1:process(clk) begin if(clk'event and clk = '1')then if clk_div1=2086 then --clk_div1 <=(others=>'0'); clk_div1 <=0; else clk_div1<= clk_div1 + 1; end if; end if; end process CLK_DIVIDOR1; CLK_DIVIDOR:process(CLKFX) begin if(CLKFX'event and CLKFX= '1') then clk_div<= clk_div + 1; end if; end process CLK_DIVIDOR; CLK_DIVIDOR2:process(CLKFX) begin if(CLKFX'event and CLKFX = '0') then clk_div2<= clk_div2 + 1; end if; end process CLK_DIVIDOR2; tem1 <='1' when clk_div1 >2068 else '0'; tem2 <= clk or tem1; test_out <= CLKFX ; FPGA_CR2 <= not tem2; FPGA_CR1 <= clk or tem1; FPGA_PR <= clk_div(15); FPGA_TCK <='1' when clk_div1 >2068 else '0'; FPGA_RST <= clk_div(0)and clk_div2(0); ----------------------------------------------------------------------- -- This section contains clock manager. ----------------------------------------------------------------------- IBUFG_clock : IBUFG generic map ( IBUF_DELAY_VALUE => "0", -- Specify the amount of added input delay for buffer, "0"-"16" (Spartan-3E/3A only) IOSTANDARD => "DEFAULT") port map ( O => clkin_buf, -- Clock buffer output I => clk_in -- Clock buffer input (connect directly to top-level port) ); BUFG_clk_sys : BUFG port map ( O =>clk_sys, -- Clock buffer output I => CLK0 -- Clock buffer input ); BUFG_clk_fx : BUFG port map ( O => TX_CLK, -- Clock buffer output I => CLKFX -- Clock buffer input ); DCM_gnet : DCM generic map ( CLKDV_DIVIDE => 8.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5 -- 7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 CLKFX_DIVIDE => 1, -- Can be any interger from 1 to 32 CLKFX_MULTIPLY => 2, -- Can be any integer from 1 to 32 CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature CLKIN_PERIOD => 0.0, -- Specify period of input clock CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or VARIABLE CLK_FEEDBACK => "1X", -- Specify clock feedback of NONE, 1X or 2X DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or -- an integer from 0 to 15 DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for frequency synthesis DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for DLL DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE FACTORY_JF => X"C080", -- FACTORY JF Values PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 STARTUP_WAIT => FALSE) -- Delay configuration DONE until DCM LOCK, TRUE/FALSE port map ( CLK0 => CLK0, -- 0 degree DCM CLK ouptput -- CLK180 => CLK180, -- 180 degree DCM CLK output -- CLK270 => CLK270, -- 270 degree DCM CLK output CLK2X => CLK2X, -- 2X DCM CLK output --100MHZ -- CLK2X180 => CLK2X180, -- 2X, 180 degree DCM CLK out -- CLK90 => CLK90, -- 90 degree DCM CLK output -- CLKDV => CLKDV, -- Divided DCM CLK out (CLKDV_DIVIDE) CLKFX => CLKFX, -- DCM CLK synthesis out (M/D) -- CLKFX180 => CLKFX180, -- 180 degree CLK synthesis out -- LOCKED => LOCKED, -- DCM LOCK status output -- PSDONE => PSDONE, -- Dynamic phase adjust done output -- STATUS => STATUS, -- 8-bit DCM status bits output CLKFB => clk_sys, -- DCM clock feedback CLKIN => clkin_buf, -- Clock input (from IBUFG, BUFG or DCM) -- PSCLK => PSCLK, -- Dynamic phase adjust clock input -- PSEN => '0', -- Dynamic phase adjust enable input -- PSINCDEC => PSINCDEC, -- Dynamic phase adjust increment/decrement --RST => rst_manu_h -- DCM asynchronous reset input RST => reset -- DCM asynchronous reset input ); end rtl
2022-11-22 11:10:17 2KB 时钟倍频
1
matlab 三分之一倍频程100-6300 柱状图
2022-10-07 19:05:22 2KB matlab
1
 简单介绍了ADI公司推出的新一代高性能模拟乘法器ADL5391的主要特性和工作原理。给出了基于ADL5391的宽带乘法器的典型应用电路,并对其进行了测试。最后设计了基于ADL5391的二倍频电路,测试结果表明该二倍频电路具有性能稳定、工作频带宽、测量精度高、抗干扰能力强等优点。
1
PyFilterbank 适用于Python的滤波器组和声学工具包 该软件包提供了滤波器组和其他用于声学家日常工作的有用工具。 主要特点是: 分数倍频程滤波器组(适用于IEC-61260:1995) 频谱加权滤波器(IEC 61672:2003) A加权 B和C加权 梅尔频率滤波器组(三角滤波器组) 作为转换矩阵 计划中的:使用STFT 二阶截面/双二阶滤波器和滤波器设计 巴特沃思SOS RBJ音频均衡器滤波器设计模块 γ滤光片库 文献资料 该放在github页面上,可以在找到。 安装 要安装,请pip install git+https://github.com/SiggiGue/pyfilterbank.git 。 地位 该项目处于开发状态,请注意。 如果您发现一些错误或想要帮助,请加入并加入! 执照 BSD许可的第4条适用。
2022-08-18 14:41:26 116KB Python
1
您曾设计过具有分数频率合成器的锁相环(PLL)吗?这种合成器在整数通道上看起来很棒,但在只稍微偏离这些整数通道的频率点上杂散就会变得高很多,是吧?如果是这样的话,您就已经遇到过整数边界杂散现象了 —— 该现象发生在载波的偏移距离等于到最近整数通道的距离时。
1
基于外调制器的光子毫米波生成技术具有频率调谐范围大、结构简单、稳定性强和信号频率纯度高等优点,被认为是实现高频宽带可调毫米波信号产生的有效解决方法。对基于一种双平行马赫曾德尔调制器(DPMZM)的光子倍频毫米波生成技术进行系统的理论分析,给出了实现四倍频、六倍频、八倍频毫米波产生的参数条件,在此基础上提出了一种不需要利用电相移器和光滤波器的四倍频毫米波产生方案,讨论了DPMZM消光比和调制深度对光子倍频毫米波生成的影响。
2022-07-26 22:10:54 3.41MB 光纤光学 光子毫米 倍频 双平行马
1
滤除波形中某一频率及其倍频,可用于去噪,滤波,已封装为函数,亲测好用!
2022-07-11 19:14:39 5KB 信号处理 滤波 数据处理 倍频
此函数以 dB 标度估计和显示给定一维信号的倍频程频谱(可选 A 加权)。 它的编码符合 ANSI S1.11-2004 并与 Octave 完全兼容。 输入和输出报告如下: 输入s =输入信号fs = 采样频率b = 推荐的倍频比 1 或 3 dbRef = 分贝刻度计算的标准参考,默认值:1 weightFlag = A-weighting [0,1],默认值:1 plotFlag = 生成倍频程图[0,1],默认值:1 输出S = 倍频程频谱 (dB) fm = 中频total_lev =总体水平total_levA = 加权总体水平sfilt = 三倍频程滤波信号
2022-06-11 10:44:37 3KB matlab
1
摘要:本文介绍了锁相环集成电路CD4046 的内部结构功能及特点,并给出在高倍锁相倍频器中的应用。 1. 概述 CD4046 是一种低频多功能单片数字集成锁相环集成电路,最高工作频率为1MHz ,电源电压5~15V , 当f0 = 10kHz 时, 功耗为0. 15~9mW。与类似的双极性单片集成锁相环相比,功耗降低了数十至数百倍,这对于要求功耗小的设备来说,是非常重要的。
2022-05-16 12:30:29 46KB cd4046 倍频器 高倍频 锁相环
1