2023年电赛小练习,利用stm32f407,hal库开发实现AD9854模块输出以及扫频。信号源在扫频仪、阻抗分析仪中都有应用。前面的实验通过单片机的DAC( DMA控制)或FPGA的ROM IP核实现了正弦波信号的产生。为了得到频率高、幅度平坦的信号源,现在通过集成的DDS模块AD9854产生任意频率的正弦波信号。
2024-07-01 20:40:13 43.66MB stm32
1
SmartFusion2开发板源码 测试
2024-07-01 16:36:06 10.2MB
TEMU源码开发文档,打包成chm格式 字数你妹
2024-07-01 11:26:38 3.03MB
1
小波基的构造,每步均有说明,讲解很详细,值得学习(Wavelet construction, each step that has to explain in great detail, it is worth learning)
2024-07-01 10:14:53 2KB matlab 开发语言
基于FPGA和STM32的相位差测量源码,初学时所写代码,理解有限,仅供参考,能够学习交流,博主工作进入正轨,鲜有时间编写回复博客。
2024-07-01 09:52:44 58.22MB stm32 fpga开发
1
2022年春GIS开发设计基础课程设计.rar
2024-07-01 09:42:59 989KB
1
国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商网站前端页面内容编写】 国开电大《WEB开发基础》实验1 【电商
2024-06-29 21:54:02 1.29MB WEB开发基础 形考任务
1
给keil5安装Compiler Version 5编译器
2024-06-28 22:39:23 80.31MB ARM开发
1
ABB机器人、PLC、C#上位机全套程序 1.项目用的是ABB蜘蛛机器人,六轴用的程序开发都一样 2.上位机与机器人和PLC通讯都是通过以太网总线方式,没有使用传统的IO方式 3.自己写的程序,可提供部分 3.PLC使用的是200smart 4.作为案例适合自己提升学习用
2024-06-28 20:54:39 949KB
1