可编程逻辑器件(verilog),包含一个时钟和两个时钟的程序和测试代码
2022-04-27 11:25:12 30KB verilog 篮球比赛
1
篮球比赛计分器设计
2022-01-11 16:54:27 235KB 篮球比赛计分器设计
1
科比布莱恩特20年职业篮球生涯中的投篮命中率相关数据。
2022-01-03 09:55:44 680KB Kaggle 体育数据 篮球比赛数据
1
篮球比赛30秒倒计时电路设计借鉴.pdf
2022-01-03 09:00:26 886KB 网络文档
篮球比赛记录表填写方法借鉴.pdf
2022-01-03 09:00:25 23KB 网络文档
效果图 程序较短,为方便使用,html,css,js,写在了一起,很多东西写着才添加 篮球计时计分器 #parent{ position: relative; } /* 计时 */ #parent div{ border: 1px solid black; color: black; display: inline-block; text-align: center; }
2021-12-25 11:10:25 145KB background html代码 篮球
1
FPGA篮球比赛定时系统 Vivado工程 Verilog代码 基于Xilinx FPGA
2021-12-13 14:02:23 1.48MB fpga
篮球计时器的源码,其中有每节比赛时间设定,两队队员信息输入,两队分数设置,抢断,助攻,范规数量对比,可查询两队队员信息
2021-12-02 16:48:33 1.11MB 篮球 android 计分器 篮球计时器
1
篮球比赛工作总结报告 (1).doc
2021-11-24 09:02:51 16KB
篮球比赛工作总结报告.doc
2021-11-24 09:02:51 26KB