篮球12分钟倒计时器设计原理,详细的说明了设计的各个步骤以及方法,值得大家喜欢
2019-12-21 20:57:56 325KB 12分
1
篮球倒计时 工程说明 本项目包含2个按键和4位数码管显示,要求共同实现一个篮球24秒的倒计时,并具有暂停和重新计数复位的功能。 案例补充说明 与单片机等实现模式相比,FPGA倒计时系统大大简化,整体性能和可靠性得到提高。在篮球24秒倒计时的模块架构设计方面,只需要一级架构下的BCD译码模块、倒计时模块和数码管显示模块,即可实现24秒倒计时功能。
2019-12-21 19:48:11 58KB FPGA倒计时
1