卷积神经网络加速器及其VerilogHDL代码自动生成设计
2021-10-11 16:40:44 7.16MB 卷积 网络加速器 VerilogHDL 代码
1
基于快速滤波算法的卷积神经网络加速器设计.pdf
2021-09-25 22:05:37 1.13MB 神经网络 深度学习 机器学习 数据建模
二值VGG卷积神经网络加速器优化设计.pdf
行业分类-物理装置-神经网络加速器的控制方法、装置及神经网络加速器.zip
基于FPGA的卷积神经网络加速器
2019-12-21 20:42:44 3.11MB 卷积神经网络
1