verilog 写的矩阵键盘扫描程序模块
2021-06-25 13:15:17 4KB verilog hdl 矩阵键盘
1
44矩阵键盘扫描汇编程序(基于51单片机) 汇报程序
1
FPGA控制实现4x4矩阵键盘扫描,按下某按键对应在数码管上显示从0到F
2021-05-18 20:50:55 253KB FPGA 矩阵键盘扫描 Verilog VHDL
1
STM32 矩阵键盘扫描实验,完整程序,高度的可移植性,可以接到任意IO口。 4*4 与4*3 扫描读按键程序
2021-04-27 16:37:41 1MB STM32 矩阵键盘
1
FPGA实现键盘扫描verilog语言源程序,适合PFGA模块化,本人亲自板上调试通过,效果很好,适合备用,模块化FPGA应用 矩阵键盘
2021-04-14 11:44:57 175KB FPGA 矩阵键盘 verilog 模块化
1
4*4矩阵键盘扫描的Verilog代码。该代码中加入了按键去抖模块,性能十分稳定,在DEI开发板上验证通过。 verilog , 按键去抖 , 矩阵键盘扫描
2021-04-14 11:41:27 561B 矩阵键盘扫描 Verilog 代码
1
51单片机AT89C52基于状态机思想的矩阵键盘扫描proteus仿真
2019-12-21 22:21:20 38KB 51单片机 状态机 矩阵键盘 proteus
1
主要实现矩阵键盘的功能。矩阵键盘使用PB8到PB15引脚,其中,PB8到PB11固定为 推挽输出,PB12到PB15固定为下拉输入。即,无键按下时,对应PB12到PB15为0,有键按下时,PB12到PB15中, 对应的引脚为高。
2019-12-21 21:02:11 291KB stm32 键盘 矩阵
1
可以 任意管脚 数据结构实现 只需添加管脚无需其他修改 即可返回按键值
2019-12-21 20:44:58 2KB STM32 矩阵键 数据结构
1
基于Verilog的低功耗矩阵键盘扫描设计,电路处于低功耗模式,在检测到有按键按下时,开始正常工作并扫描按键,判断是哪个按键被按下,得出键值后再次进入低功耗模式。
2019-12-21 20:40:06 3KB fpga 矩阵键盘 低功耗模式 verilog
1