交流伺服系统仿真、转矩控制模式仿真实验、
FPGA(EP4CE6)控制步进电机实验Verilog逻辑源码Quartus工程文件+文档资料, module STEP_MOTO_V1_0 ( //input input sys_clk , input [3:0] key , output reg mot_out_a , output reg mot_out_b , output reg mot_out_c , output reg mot_out_d ); //reg define reg [8-1:0] counter ; reg [8-1:0] count ; reg [25:0] clk_cnt ; reg [ 2:0] step_cnt ; //wire wire step_en ; //wire define //parameter define assign sys_rst_n = 1'b1 ; // gen a time counter , 5500000 * 20ns always @(posedge sys_clk or negedge sys_rst_n) begin if (sys_rst_n ==1'b0) clk_cnt <= 26'b0; else if ( clk_cnt == 26'd500000 ) clk_cnt <= 26'b0; else clk_cnt <= clk_cnt + 26'b1; end // step enable is active 5500000 * 20ns assign step_en = ( clk_cnt == 26'b0 ) ? 1:0 ; always @(posedge step_en or negedge sys_rst_n) begin if (sys_rst_n ==1'b0) step_cnt <= 3'b0; else step_cnt <= step_cnt + 3'b1; end always @(posedge step_en or negedge sys_rst_n) begin if (sys_rst_n ==1'b0) begin mot_out_a <= 1'b0; mot_out_b <= 1'b0; mot_out_c <= 1'b0; mot_out_d <= 1'b0; end else if ( step_cnt == 0 ) begin mot_out_a <= 1'b0; // 6 mot_out_b <= 1'b0; mot_out_c <= 1'b0; mot_out_d <= 1'b1; end else if ( step_cnt == 1 ) begin mot_out_a <= 1'b0; mot_out_b <= 1'b0; mot_out_c <= 1'b1; mot_out_d <= 1'b1; end else if ( step_cnt == 2 ) begin mot_out_a <= 1'b0;
LV2012写的直线马达测试程序,使用Dmc2610运动控制卡,情况稳定正常。与大家探讨学习。
2021-08-01 10:16:07 308KB labview2012
1
铜陵学院电机拖动实验报告.pdf
2021-06-27 09:03:28 2.94MB 电机拖动 电机实验
比赛练习案例,仿真实例,模块开发,现成调用封装使用,可运行的仿真电路图和调好的程序,开箱即用。适用于教学案例、毕业设计、电子设计比赛、出书项目实例,实际设计、个人DIY参考。 已调试好,proteus直接可以运行看效果
STM32步进电机实验代码
2021-04-03 09:04:27 447KB STM32步进电机实验代码
EDA技术及应用课程相关实验:直流电机实验
2021-03-01 09:02:28 79KB EDA 源码 quartusII FPGA
1
MCS-51汇编语言编写的步进电机实验,有注释
2021-01-28 02:31:40 21KB 步进电机 MCS51 单片机
1
用MCS-51汇编语言所写的直流电机实验代码,有注释,供参考
2021-01-28 02:31:40 21KB 直流电机 MCS51 单片机
1
里面是一个永磁同步电机的Simulink模型搭建.mdl文件,还有基于这个模型的实验步骤和结果分析,再附上一份1000字的电机课程感想与建议。调试代码也附在其中了。
2019-12-21 20:54:12 543KB 电机 simulink 实验报告
1