用FPGA实现VGA汉字显示系统,设计提出一种基于Xilinx公司的Spartan-3E的FPGA显示方案,由于FPGA芯片具有可靠性高、编程灵活、体积小等 优点,采用其控制VGA接口进行汉字显示,有效地解决了通用处理器控制VGA接口显示汉字的缺点。对新方案进行理论分 析和实验,结果证明该方案达到了预期效果
2021-12-27 19:06:29 289KB FPGA实现VGA
1
基于VHDL设计用PGA实现一款简易电子密码锁QUARTUS工程源码+文档说明 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; entity time_counter is port( clk:in std_logic; --50M时钟输入 reset_n:in std_logic; --复位信号输入 password1_in:in std_logic_vector(3 downto 0); -- password2_in:in std_logic_vector(3 downto 0); -- password3_in:in std_logic_vector(3 downto 0); -- password4_in:in std_logic_vector(3 downto 0); -- ok_signal_counter_in:in std_logic_vector(2 downto 0); seg_duan:out std_logic_vector(7 downto 0); --数码管段信号输出 seg_wei:out std_logic_vector(7 downto 0) --数码管位信号输出 ); end time_counter; architecture time_counter_behave of time_counter is signal clk_1hz: std_logic; signal count: std_logic_vector(24 downto 0); signal clk_scan: std_logic; signal seg_select: std_logic_vector(2 downto 0); signal scan_count: std_logic_vector(13 downto 0); begin -- //**************************************************************************************************** -- // 模块名称:50M时钟分频至1HZ模块 -- // 功能描述: -- //**************************************************************************************************** process(clk,reset_n) begin if(reset_n = '0')then clk_1hz <= '0'; count <= "0000000000000000000000000"; elsif(clk'event and clk = '1')then--上升沿触发 if(count = "1011111010111100001000000")then-- count <= "0000000000000000000000000"; clk_1hz <= not clk_1hz; else count <= count + '1'; end if; end if; end process; -- //**************************************************************************************************** -- // 模块名称:数码管扫描时钟产生模块 -- // 功能描述: -- //************************************************************************************
基本功能 1.以数字形式显示时、分、秒的时间; 2.小时计数器为同步24进制; 3.要求手动校时、校分。 4.任意时刻闹钟
2021-11-04 21:28:20 2.32MB 数字钟 FPGA
1
用FPGA 开发PCI-E驱动全代码 详细描述了PCI-E驱动的代码 有中文注释
2021-10-15 10:45:35 31KB 用FPGA 开发PCI-E驱动全代码
1
低密度奇偶校验(Low Density Parity Check,LDPC) 码是由Gallager博士在1962年首次提出来的,由于LDPC码的误码性能能够逼近香农限,因而在无线通信、卫星通信等领域都得到了较多应用。中国移动多媒体广播(CMMB) 中使用的就是LDPC纠错编码。在CMMB标准中, LDPC码长为9216,可支持1/2和3/4 两种码率。作者通过深入分析CMMB中LDPC码校验矩阵的特点,采用了一种合适的硬件实现结构,因而在保证译码器较高性能和较快译码速度的情况下,以较低的硬件资源实现了两种码率的复用。
2021-10-14 16:22:08 4.29MB 嵌入式系统
1
FPGA,VIVADO,VGA显示
2021-07-14 20:04:35 2.93MB FPGA VIVADO VGA显示
1
用FPGA来加速采用OpenCL的多功能打印机图像处理
2021-07-14 17:03:32 6.1MB opencl
1
用FPGA实现嵌入式实时初晶温度采集与交换.pdf
2021-07-13 15:12:30 257KB FPGA 硬件技术 硬件开发 参考文献
用FPGA的VHDL实现4位向量输入,4位输出的多路复用器
2021-06-21 21:03:57 24KB FPGA VHDL 复用器 数字电路
用FPGA的VHDL实现4输入,1输出的多路复用器
2021-06-21 21:02:45 20KB FPGA VHDL 数字电路 逻辑电路